The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cell with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1971 (17) 1972-1974 (16) 1975-1977 (16) 1978-1979 (16) 1980 (16) 1981-1982 (27) 1983-1984 (28) 1985 (26) 1986 (31) 1987 (54) 1988 (80) 1989 (72) 1990 (103) 1991 (110) 1992 (96) 1993 (138) 1994 (172) 1995 (248) 1996 (206) 1997 (261) 1998 (294) 1999 (324) 2000 (399) 2001 (490) 2002 (590) 2003 (707) 2004 (939) 2005 (1104) 2006 (1427) 2007 (1638) 2008 (1799) 2009 (1412) 2010 (1088) 2011 (1138) 2012 (1159) 2013 (1397) 2014 (1475) 2015 (1625) 2016 (1604) 2017 (1562) 2018 (1716) 2019 (1894) 2020 (1934) 2021 (2186) 2022 (2212) 2023 (2302) 2024 (507)
Publication types (Num. hits)
article(17151) book(10) data(13) incollection(177) inproceedings(18953) phdthesis(343) proceedings(8)
Venues (Conferences, Journals, ...)
CoRR(1915) PLoS Comput. Biol.(666) Bioinform.(587) IEEE Access(518) VTC Spring(442) J. Cell. Autom.(411) ICC(397) Sensors(385) IEEE Trans. Wirel. Commun.(384) BMC Bioinform.(383) PIMRC(366) IEEE Trans. Veh. Technol.(360) ISCAS(327) GLOBECOM(326) ISBI(312) EMBC(292) More (+10 of total 3694)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9009 occurrences of 4065 keywords

Results
Found 36657 publication records. Showing 36655 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
88Eugene E. McDonnell Life: Nasty, Brutish, and Short. Search on Bibsonomy APL The full citation details ... 1988 DBLP  DOI  BibTeX  RDF APL
77Maria Teresa Andrade, Artur Pimenta Alves Experiments with Dynamic Multiplexing and UPC Renegotiation for Video over ATM. Search on Bibsonomy NETWORKING The full citation details ... 2000 DBLP  DOI  BibTeX  RDF renegotiation Abbreviations: AAL, ATM Adaptation Layer, ACTS, Advanced Communications, Technologies & Services, CDV, Cell Delay Variation, CDVT, Cell Delay Variation Tolerance, CTD, Cell Transfer Delay, EFCI, Explicit Forward Congestion Indication, GOP, Group Of Pictures, MBS, Maximum Burst Size, Motion Picture Expert Group, Network Interface Card, Peak Cell Rate, MCR, Minimum Cell Rate, Resource and Management, Sustainable Cell Rate, UNI, User Network Interface, Usage Parameter Control, Quality of Service, QoS, Quality of Service, ATM, ATM, Asynchronous Transfer Mode, VoD, Video on Demand, CAC, MPEG, CBR, statistical multiplexing, VBR, Variable Bit Rate, Connection Admission Control, UPC, UPC, ABR, Virtual Circuit, RM, Available Bit Rate, SCR, PCR, VC, NIC, Constant Bit Rate
71David E. Cardoze, Gary L. Miller, Todd Phillips Representing Topological Structures Using Cell-Chains. Search on Bibsonomy GMP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cell tuple, cell chain, computational topology, cell complex
67Young-Keun Park, Gyungho Lee ATM cell scheduling with queue length-based priority scheme. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATM cell scheduling, queue length based priority scheme, nonblocking switch, optimal input bypass queueing method, switch throughput maximisation, cell delay variations, delay variance, cell loss rate, neural network, asynchronous transfer mode, asynchronous transfer mode, ATM switches, buffer size, B-ISDN, FIFO queueing, nonuniform traffic, head-of-line blocking, input queues, cell loss probabilities
66Hoon Lee A Gracious Cell Discard Scheme in ATM Multiplexer. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF gracious cell discard control, ATM output multiplexer, gracious cell throttle, temporary queue, main queue, high priority cells, low priority cells, nonlinear filter function, cell rejection policy, partial rejection, prioritized selection, QoS measures, cell delay, quality of service, asynchronous transfer mode, congestion control, overflow, ATM multiplexer, cell loss, finite capacity queue
62Michael Ritter, S. Kornprobst, F. Hübner Performance comparison of design alternatives for source policing devices in ATM systems. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF usage parameter control, source policing, ATM systems, connection traffic descriptors, peak cell rate, cell rejection probability, control function, cell delay variation tolerance, sustainable cell rate, burst tolerance, monitoring schemes, asynchronous transfer mode, ATM networks, telecommunication traffic, performance comparison, telecommunication congestion control, network congestion, telecommunication network management
60Prithviraj Banerjee, Mark Howard Jones, Jeff S. Sargent Parallel Simulated Annealing Algorithms for Cell Placement on Hypercube Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF cell placement, two-dimensional area, n-dimensional hypercube, cell exchanges, cell displacements, parallel cost evaluation, tree broadcasting strategy, dynamic parallel annealing schedule, heuristic cell coloring, adaptive sequence control, Intel iPSC-2/D4/MX hypercube, performance evaluation, parallel algorithms, parallel algorithms, synchronization, simulated annealing, message passing, optimisation, errors, circuit layout CAD, distributed memory, cost function, distributed data structure, hypercube multiprocessors
60Fuxing Yang, Michael A. Mackey, Fiorenza Ianzini, Greg Gallardo, Milan Sonka Cell Segmentation, Tracking, and Mitosis Detection Using Temporal Context. Search on Bibsonomy MICCAI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
58Jennifer Y.-L. Lo, Wu-An Kuo, Allen C.-H. Wu, TingTing Hwang A Custom-Cell Identification Method for High-Performance Mixed Standard/Custom-Cell Designs. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
58Mesud Hadzialic, Mirza Hamza, Pamela Begovic An approach to cell signal coverage reliability in presence of different fading models. Search on Bibsonomy MOBIWAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cell area reliability, cell coverage estimation, cell edge reliability, composite fading, radius inaccuracy, shadow fading, shadowing, least squares method, fading channel, multipath fading, linear regression model
56Sickle Cell Disease Ontology Working Group The Sickle Cell Disease Ontology: enabling universal sickle cell-based knowledge representation. Search on Bibsonomy Database J. Biol. Databases Curation The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
56Izhak Rubin, Kirk K. Chang Video Quality Control Under Cell-Discarding Algorithms in an ATM Network Supporting Layer-Encoded Video Streams. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATM Congestion Control, Layered-Encoding Algorithms, Video Quality Control, ATM Cell-Discarding Algorithms, ATM Networks
54John A. Chandy, Prithviraj Banerjee Parallel simulated annealing strategies for VLSI cell placement. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel simulated annealing strategies, VLSI cell placement, cell placement annealing, multiple Markov chains, parallel moves approach, parallel algorithms, VLSI, simulated annealing, Markov processes, VLSI design, circuit layout CAD, integrated circuit layout, speculative computation, standard cell placement
54Aimin Sang, Xiaodong Wang 0001, Mohammad Madihian, Richard D. Gitlin Coordinated load balancing, handoff/cell-site selection, and scheduling in multi-cell packet data systems. Search on Bibsonomy MobiCom The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cell-site selection, multi-cell, load balancing, handoff, HSDPA, opportunistic scheduling, HDR
52Leonard Barolli, Kuninobu Tanno A Fuzzy Policing Mechanism for Still Picture in ATM Networks. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF selectivity characteristics, fuzzy policing mechanism, B-ISDN networks, traffic parameters control, source traffic characteristics, mean cell rate, peak cell rate, peak burst duration, cell spacer, bursty traffic sources, still picture source, simulation, quality of service, performance evaluation, asynchronous transfer mode, asynchronous transfer mode, ATM networks, fuzzy set theory, statistical multiplexing, virtual connection, link utilization
52T. W. Her, Martin D. F. Wong On over-the-cell channel routing with cell orientations consideration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
52Srinivasa R. Danda, Sreekrishna Madhwapathy, Naveed A. Sherwani Optimal algorithms for planar over-the-cell routing in the presence of obstacles. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF planar over-the-cell routing, arbitrary shaped obstacles, two layer standard cell design methodology, ALGO-PROBES algorithm, VLSI, network routing, optimal algorithms, circuit layout CAD, circuit optimisation, integrated circuit layout
51Vassilis Cutsuridis, Stuart Cobb, Bruce P. Graham Encoding and Retrieval in a CA1 Microcircuit Model of the Hippocampus. Search on Bibsonomy ICANN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CA1, microcircuit, pyramidal cell, basket cell, bistratified cell, axo-axonic cell, OLM cell, computer model, Hippocampus, STDP
50Ilker Ersoy, Filiz Bunyak, Kannappan Palaniappan, Mingzhai Sun, Gabor Forgacs Cell Spreading Analysis with Directed Edge Profile-Guided Level Set Active Contours. Search on Bibsonomy MICCAI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50Yong Huat Chew, Jiakai Tham, Boon Sain Yeo Cell Capacity of CDMA Networks Taking the Effect of Mobile Locations into Consideration. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Subrata Nandi, Manish K. Raushan An Efficient Implementation of Distance-Based Update Scheme Using Directional Cell Identification Codes. Search on Bibsonomy IWDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Leslie M. Loew The Virtual Cell: Creating Models of Complex Cellular Events. Search on Bibsonomy CBMS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
49Mehdi Hakimi, Seyyed Masoud Moghaddas Tafreshi, M. R. Rajati Unit Sizing of a Stand-Alone Hybrid Power System Using Model-Free Optimization. Search on Bibsonomy GrC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reformer, hybrid power system, optimal sizing, particle swarm optimization I. NOMENCLATURE P conv wg - Power delivered from wind turbines to converter (kw) P el wg - Power delivered from wind turbines to electrolyzer (kw) P k el tan - Power delive, K. N. Toosi University of Technology, Tehran-Iran (e-mail: sm_hakimi@yahoo.com). S.M.M.Tafreshi is with the Department of Electrical Engineering, K. N. Toosi University of Technology, Tehran-Iran (e-mail: tafreshi@eetd.kntu.ac.ir). M. R. Rajati is with the Department of Electrical Engineering, K. N. Toosi University of Technology, Tehran-Iran (e-mail: mohammadreza.rajati@gmail.com). P wt Power generated by wind turbines (kw) Pload Load power (kw) E k tan Stored energy in the hydrogen tank (kwh) fc, el, conv Efficiency of fuel cell, electrolyzer, converter NPCindex Net present cost (the index shows the corresponding component) ($) S Single-payment present worth factor R Life time of project (year) L Life time of each components (year) Ir Inter, fuel cell, wind turbine
47Petra Wiederhold, Sandino Morales Thinning on Quadratic, Triangular, and Hexagonal Cell Complexes. Search on Bibsonomy IWCIA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 2D binary images, locally simple cell, cellular complex, Alexandroff space, Kovalevsky skeleton, cell complex, simple cell, parallel thinning
46Zhe-Wei Jiang, Meng-Kai Hsu, Yao-Wen Chang, Kai-Yuan Chao Spare-cell-aware multilevel analytical placement. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spare cells, placement, physical design
45Hongyu Tang, Huaiyu Ye, Cell K. Y. Wong, Stanely Y. Y. Leung, Jiajie Fan, Xianping Chen, Xuejun Fan, Guoqi Zhang Overdriving reliability of chip scale packaged LEDs: Quantitatively analyzing the impact of component. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
45Yang Liu 0103, Stanley Y. Y. Leung, Jia Zhao, Cell K. Y. Wong, Cadmus A. Yuan, Guoqi Zhang, Fenglian Sun, Liangliang Luo Thermal and mechanical effects of voids within flip chip soldering in LED packages. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
45Gorazd Pretnar, Franz Steindl Time Dependent Cell Growth in Biomedical Research with General Cell Screening System. Search on Bibsonomy CBMS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF MTT, XTT, thymidine, IAM, SLT Labinstruments, GCSS, bone marrow, colony count assay, interferon alpha, MTS
45Xinyu Liu 0002, Yu Sun 0001 Visually Servoed Orientation Control of Biological Cells in Microrobotic Cell Manipulation. Search on Bibsonomy ISER The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cell orientation, visual servo control, rotational stage, cell injection, microrobotics
45Peter J. W. Iles, David A. Clausi, Shannon M. Puddister, G. Wayne Brodland Average Cell Orientation, Shape and Size Estimated from Tissue Images. Search on Bibsonomy CRV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF composite cell, average cell, embryology, tissue mechanics, texture, orientation, geometry, morphogenesis, aspect ratio, shape detection, microscopy, spatial-frequency
44Aimin Sang, Xiaodong Wang 0001, Mohammad Madihian, Richard D. Gitlin Coordinated load balancing, handoff/cell-site selection, and scheduling in multi-cell packet data systems. Search on Bibsonomy Wirel. Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cell-site selection, Scheduling, Load balancing, Handoff, Cellular
43Ju Han, Hang Chang, Kumari L. Andarawewa, Paul Yaswen, Mary Helen Barcellos-Hoff, Bahram Parvin Integrated profiling of cell surface protein and nuclear marker for discriminant analysis. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Sanjeev K. Jain, Pankaj Agarwal A Low Leakage and SNM Free SRAM Cell Design in Deep Sub Micron CMOS Technology. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Lin Fan, Zhongmin Wang, Hai Wang Multi-target cell tracking based on classic kinetics. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF classic kinetics, main target, subtarget, WSN, cell, multi-target tracking
42Dae Wook Byun, Young Min Ki, Dong Ku Kim Channel state-aware joint dynamic cell coordination scheme using adaptive modulation and variable reuse factor in OFDMA downlink. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cell coordination, Frequency reuse factor, Orthogonal frequency division multiple access (OFDMA) in multicell
42Karuturi R. Krishna Murthy, Liu Jian Hua Improved Fourier Transform Method for Unsupervised Cell-Cycle Regulated Gene Prediction. Search on Bibsonomy CSB The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Fourier transform, Microarray, Cell cycle, Gene prediction
42Suresh Subramaniam 0001, Arun K. Somani Multicasting in ATM networks using MINs. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF self-routing multistage interconnection networks, multicast switch architecture, cell-level simulation results, average cell delay, cell recycling, multicasting, asynchronous transfer mode, ATM networks, MIN, B-ISDN, banyan network, routing tables, multicast connection
42Gerd Keiser, David Freeman, Carrie Carter ATM test traffic generation algorithms. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATM test traffic generation algorithms, ATM traffic analyzer, user-selectable statistical distribution, ATM network performance, ATM switch performance, cell delay, cell error, variable-bit-rate traffic patterns, constant-bit-rate traffic patterns, asynchronous transfer mode, asynchronous transfer mode, performance metrics, video traffic, voice traffic, cell loss, data traffic
42Yitong Yin Cell-Probe Proofs and Nondeterministic Cell-Probe Complexity. Search on Bibsonomy ICALP (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Michael P. Perrone, Tanaz Sowadagar Cell BE - Cell BE software programming and toolkits. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Zhen Zhang, Peng Zhang, Xiaobo Mao, Shanzhong Zhang The Application of the Snake Model in Carcinoma Cell Image Segment. Search on Bibsonomy ICIC (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cell Image, cell boundary, esophageal cancer, image segmentation, snake model
41Akio Doi, Satoshi Suzuki, Koji Koyamada, Shinji Sannakanishi Vortex flow visualization using tetrahedral cell subdivision. Search on Bibsonomy PG The full citation details ... 1997 DBLP  DOI  BibTeX  RDF vortex flow visualization, tetrahedral cell subdivision, critical point searching technique, velocity vector, trilinear interpolation function, hexahedral cell, multiple critical points, linear interpolation function, numerical integration scheme, precise position calculation, Newton's method, vortices
41Kelvin K. Lee, Samuel T. Chanson Transient analysis of cell loss control mechanisms in ATM networks. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cell loss control mechanisms, partial buffer sharing, cell loss control mechanism, threshold-based loss balancing, violation probability, ATM networks, transient analysis, transient analysis, TLB, network utilization, queue length distributions, PBS
41Nils Björkman, Alexander Latour-Henner, Urban Hansson The cell loss process in ATM networks and its impact on quality of service. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cell loss process, performance level, short-term CLR, objective analysis, video/audio codec, quality of service, performance evaluation, asynchronous transfer mode, local area networks, ATM networks, network performance, subjective assessment, Cell Loss Ratio
41Ahmed Mehaoua, Raouf Boutaba, Guy Pujolle An adaptive and selective cell drop policy with dynamic data partitioning for best effort video over ATM. Search on Bibsonomy LCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF selective cell drop policy, dynamic data partitioning, best effort video, ATM best effort services, MPEG based video delivery framework, unspecified bit rate, video oriented cell discarding scheme, switch buffer occupancy, video cell payload types, dynamic frame level priority data partition mechanism, MPEG data structure, enhanced ATM Adaptation Layer type 5, slice based MPEG2 encapsulation strategy, video delivery framework, real MPEG video data, asynchronous transfer mode, available bit rate
41Suman Das, Harish Viswanathan A comparison of reverse link access schemes for next-generation cellular systems. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Will Casey Coupled Oscillator Models for a Set of Communicating Cells. Search on Bibsonomy CMSB The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Uday Doddannagari, Shiyan Hu, Weiping Shi Fast characterization of parameterized cell library. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
39Haibo Huang, Dong Sun 0001, James K. Mills, Wen Jung Li Visual-based Impedance Force Control of Three-dimensional Cell Injection System. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Hongyuan Wang, Shenggen Zeng, Chengang Yu, Xiaogang Wang, Deshen Xia The Researches of Microscopic Image Segmentation and Recognition on the Cancer Cells Fallen into Peritoneal Effusion. Search on Bibsonomy MIAR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cell image segmentation, cell image recognition, peritoneal effusion, Artificial neural network, computer-aided diagnosis
39Monica Marcuzzo, Pedro Quelhas, Ana Maria Mendonça, Aurélio C. Campilho Evaluation of Symmetry Enhanced Sliding Band Filter for Plant Cell Nuclei Detection in Low Contrast Noisy Fluorescent Images. Search on Bibsonomy ICIAR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Convergence filters, cell detection, Biology imaging
39François David, Christiane Linster, Thomas A. Cleland Lateral dendritic shunt inhibition can regularize mitral cell spike patterning. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GABAA, Granule cell, Shunt inhibition, Synchronization, Olfactory bulb
39Qihua Yang, Qiang Wang Tissue Cell Boundaries Detection based on Curvelet-based Snake Model in Electrorotation Bio-chip Control System. Search on Bibsonomy BMEI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cell tracking, snake, curvelet transform, edge extraction
39Hidemasa Muta, Munehiro Doi, Hiroki Nakano, Yumi Mori Multilevel parallelization on the cell/B.E. for a motion JPEG 2000 encoding server. Search on Bibsonomy ACM Multimedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF motion JPEG 2000, parallelization, cell broadband engine
39Samuel Williams 0001, John Shalf, Leonid Oliker, Shoaib Kamil 0001, Parry Husbands, Katherine A. Yelick The potential of the cell processor for scientific computing. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GEMM, SpMV, three level memory, FFT, sparse matrix, cell processor, stencil
39Matteo Fischetti, Juan José Salazar González Partial cell suppression: A new methodology for statistical disclosure control. Search on Bibsonomy Stat. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cell suppression, statistical data protection, linear programming, confidentiality, statistical disclosure control
39M. Talla, Ahmed K. Elhakeem, Michel Kadoch QOS based performance of selective repeat transport user in hybrid ATM/TDMA networks. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF QOS based performance, selective repeat transport user, hybrid ATM/TDMA networks, throughput characteristics, delay characteristics, cell-level global congestion control, ATM multiplexer node, packet level control, ATM cell level, Norton equivalent queueing model, transport service characteristic, protocol efficiency, virtual leaky bucket, modified leaky bucket, flow control parameters modulation, quality of service, asynchronous transfer mode, asynchronous transfer mode, video, time division multiple access, data, voice, virtual circuit, queue management, leaky bucket, window size, packet size, cell loss probability
39Chung-Len Lee, Horng Nan Chern, Min Shung Liao, Hui Min Wang On Designing of 4-Valued Memory with Double-Gate TFT. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF thin film transistors, 4-valued memory, double-gate TFT, 4 valued memory cell, double gate thin film transistor, double gate TFT, HSPICE simulation, resistor load, CMOS load basic block circuit, memory cell circuits, SRAM cell circuit, memory architecture, integrated circuit design, multivalued logic, SPICE, circuit design, multivalued logic circuits, SRAM chips, CMOS memory circuits, equivalent circuits, equivalent circuit
38Ji Hyun Park, Ki-Young Han, Dong-Ho Cho Reducing Inter-Cell Handover Events based on Cell ID Information in Multi-hop Relay Systems. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Daniel M. Ellin, Stuart J. Flockton Analysing evolvable cell design for optimisation of routing options. Search on Bibsonomy GECCO (Companion) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analogue circuitry, analogue evolvable, cell design, cell signal routing, evolvable cells
37Sajal K. Das 0001, Sanjoy K. Sen, Rajeev Jayaram A dynamic load balancing strategy for channel assignment using selective borrowing in cellular mobile environment. Search on Bibsonomy Wirel. Networks The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
37Anand Pillarisetti, Maxim Pekarev, Ari D. Brooks, Jaydev P. Desai Evaluating the Role of Force Feedback for Biomanipulation Tasks. Search on Bibsonomy HAPTICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cell injection, Transgenesis, Force feedback
37Bih-Hwang Lee, Hsin-Pei Chen, Su-Shun Huang ATraffic Shedding Algorithm for Soft-Handoff in MC-CDMA Systems. Search on Bibsonomy ICPADS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hot-spot cell, traffic shedding, mobile communication, soft handoff
36Masaru Takeuchi, Masahiro Nakajima, Toshio Fukuda Semi-closed microchip for probe manipulation and the target cell harvesting. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Ayman Radwan, Hossam S. Hassanein Analyzing the application of inter-cell relay in CDMA cellular networks. Search on Bibsonomy ISCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Fuhai Li, Xiaobo Zhou 0001, Hong Zhao, Stephen T. C. Wong Cell Segmentation Using Front Vector Flow Guided Active Contours. Search on Bibsonomy MICCAI (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Peng Xue, Dong Kwan Kang, Duk Kyung Kim Improved Multi-Cell Joint Channel Estimation for TD-SCDMA Downlink. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Satoshi Nagata, Yoshihisa Kishiyama, Motohiro Tanno, Kenichi Higuchi, Mamoru Sawahashi Cell Search Time Comparison Using Hierarchical and Non-Hierarchical Synchronization Channels in OFDM Based Evolved UTRA Downlink. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Kevin O'Brien, Kathryn M. O'Brien, Zehra Sura, Tong Chen 0001, Tao Zhang Supporting OpenMP on Cell. Search on Bibsonomy IWOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Nezamoddin Nezamoddini-Kachouie, Leo J. Lee, Paul W. Fieguth A probabilistic living cell segmentation model. Search on Bibsonomy ICIP (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Nezamoddin Nezamoddini-Kachouie, Paul W. Fieguth, John Ramunas, Eric Jervis A Model-Based Hematopoietic Stem Cell Tracker. Search on Bibsonomy ICIAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Dagmar Iber The Mechanistic Basis of Compartmentalized Gene Expression in Bacillus subtilis. Search on Bibsonomy Nat. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF allostery, asymmetric cell division, cell differentiation, sporulation, mathematical model
35Nabila Kazmi, M. Alamgir Hossain, Roger M. Phillips Intelligent Modelling for Benign Tumour Growth with Cell-Cell and Cell-Matrix Adhesion and Movement. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Benign tumour growth, Extracellular matrix, Cell adhesion, Cell movement and Epithelial mesenchymal transition, Artificial intelligence
35Tobias Bandh, Georg Carle, Henning Sanneck Graph coloring based physical-cell-ID assignment for LTE networks. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF physical cell ID, management, graph coloring, LTE
35Peter Spindler, Ulf Schlichtmann, Frank M. Johannes Abacus: fast legalization of standard cell circuits with minimal movement. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF minimal movement, standard cell circuits, dynamic programming, legalization
35M. Mustafa Rafique, Ali Raza Butt, Dimitrios S. Nikolopoulos DMA-based prefetching for i/o-intensive workloads on the cell architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF i/o intensive workloads, high-performance computing, cell broadband engine
35Savithri Sundareswaran, Jacob A. Abraham, Alexandre Ardelea, Rajendran Panda Characterization of Standard Cells for Intra-Cell Mismatch Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Statistical Characterization, Intra-Cell Variations, Random Variations, Mismatch Variations
35Siwaporn Sorncharean, Suebskul Phiphobmongkol Crack Detection on Asphalt Surface Image Using Enhanced Grid Cell Analysis. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Crack Detection, Asphalt, Grid Cell Analysis, Image Processing
35Samuel Williams 0001, John Shalf, Leonid Oliker, Shoaib Kamil 0001, Parry Husbands, Katherine A. Yelick Scientific Computing Kernels on the Cell Processor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GEMM, SpMV, three level memory, FFT, sparse matrix, Cell processor, Stencil
35Arun Kumar 0004, Naresh Jayam, Ashok Srinivasan, Ganapathy Senthilkumar, Pallav K. Baruah, Shakti Kapoor, Murali Krishna, Raghunath Sharma Feasibility study of MPI implementation on the heterogeneous multi-core cell BETM architecture. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cell BE™ processor, heterogeneous multi-core processors, MPI
35O. Mahesh, G. Srinivasan Multi-objectives for incremental cell formation problem. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cellular manufacturing system, Incremental cell, Multi-objectives, Group technology
35Yingren Liu, Karuturi R. Krishna Murthy, Wing-Kin Sung ConstrainedMotif: A Periodicity Constraint Based Algorithm to Predict Cell-Cycle Associated Promoter Motifs Using Time-Course Gene Expression Data. Search on Bibsonomy BIBE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Motif prediction, Microarray, Constrained optimization, Cell-cycle
35Gabriel A. Wainer, Norbert Giambiasi N-dimensional Cell-DEVS Models. Search on Bibsonomy Discret. Event Dyn. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DEVS models, modeling paradigms, cell-DEVS models, cellular automata, discrete event simulation
35Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Test Generation and Fault Simulation for Cell Fault Model using Stuck-at Fault Model based Test Tools. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cell fault model (CFM), fault simulation, test pattern generation, stuck-at fault model
35Shyue-Kung Lu, Cheng-Wen Wu, Ruei-Zong Hwang Cell delay fault testing for iterative logic arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF cell delay fault, path delay fault, C-testable, iterative logic array, pseudoexhaustive testing
35Mehdi Amirijoo, Pål K. Frenger, Fredrik Gunnarsson, Harald Kallin, Johan Moe, Kristina Zetterberg Neighbor cell relation list and measured cell identity management in LTE. Search on Bibsonomy NOMS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Siddharth Bhingarde, Anand Panyam, Naveed A. Sherwani Middle terminal cell models for efficient over-the-cell routing in high-performance circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
35Juan A. Montiel-Nelson, V. de Armas, Roberto Sarmiento, Antonio Núñez A Cell and Macrocell Compiler for GaAs VLSI Full-Custom Design. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Gallium Arsenide automated layout generation system, GaAs VLSI design, power supply and ground distribution model, full-custom cell layout style, full-custom layouts of very high speed circuits, cell library builder, random logic macrocell generator, iterative logic array generator
34Frances K. Skinner, H. Bazzazi, Sue Ann Campbell Two-Cell to N-Cell Heterogeneous, Inhibitory Networks: Precise Linking of Multistable and Coherent Properties. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF basket cell, simulations, synchrony, hippocampus, bifurcation analysis
34Abhijit Chatterjee, Jacob A. Abraham Test Generation for Iterative Logic Arrays Based on an N-Cube of Cell States Model. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF cell states model, two-dimensional iterative logic arrays, ILA cell truth table, cell interconnection structure, bilateral direction, signal flow, horizontal axis, logic testing, graphs, test generation, integrated circuit testing, automatic testing, logic arrays, test set, N-cube
34Chun Yin Sin, Vincent K. N. Lau On the Theoretical Analysis of Optimal Cellular Systems design with Multi-user Detection in slow flat fading channel - Uplink Analysis. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Kaiwen Hu, Shengxuming Zhang, Zhijie Jia, Lechao Cheng, Zunlei Feng Cell Segmenter: A General Framework for Multi-modality Cell Segmentation. Search on Bibsonomy Cell Segmentation Challenge @ NeurIPS The full citation details ... 2022 DBLP  BibTeX  RDF
33Hiroshi Yoshida, Katsuhisa Horimoto, Hirokazu Anai Inference of Probabilities over a Stochastic IL-System by Quantifier Elimination. Search on Bibsonomy Math. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Lindenmayer system with interactions, cell-type diversity, quantifier elimination, algebraic approach
33Wenwen Liang, Mingxin Qin, Mingke Jiao, Hao Yang, Ke Li, Teng Jiao, Liyuan Bai, Wenyong Wang Phase Detection Based on the Lock-in Amplifier SR844 and Experiments of Brain Neuron Cells in MIT System. Search on Bibsonomy BMEI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-contract, magnetic induction tomography, phase-change detection, oedema neuron cell model, Lock-in amplifier
33Grammatoula Papaioannou, John M. Wilson 0001 Fuzzy extensions to Integer Programming models of cell-formation problems in machine scheduling. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cellular manufacturing system, Machine operation sequence, Uncertainty, Integer programming, Fuzzy models
33Il Gyu Kim, Youngnam Han, Young Hoon Kim, Seung Chan Bang Sequence hopping cell search scheme for OFDM cellular systems. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Kanak Agarwal, Sani R. Nassif The Impact of Random Device Variation on SRAM Cell Stability in Sub-90-nm CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Eric Dahai Cheng, Subhash Challa, Rajib Chakravorty, John Markham Microscopic cell segmentation by parallel detection and fusion algorithm. Search on Bibsonomy MMSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Seunghwa Kang, David A. Bader Optimizing JPEG2000 Still Image Encoding on the Cell Broadband Engine. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Balkaran S. Gill, Christos A. Papachristou, Francis G. Wolff Interactive presentation: A new asymmetric SRAM cell to reduce soft errors and leakage power in FPGA. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 36655 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license