The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase coupling-noise (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-2001 (18) 2002-2003 (18) 2004-2005 (17) 2006-2008 (23) 2009-2024 (15)
Publication types (Num. hits)
article(28) incollection(1) inproceedings(62)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 46 occurrences of 35 keywords

Results
Found 91 publication records. Showing 91 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
66Debjit Sinha, Hai Zhou 0001, Chris C. N. Chu Optimal gate sizing for coupling-noise reduction. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coupling-noise, gate-sizing, lattice theory, fixpoint
53Jingye Xu, Pervez Khaled, Masud H. Chowdhury Fast bus waveform estimation at the presence of coupling noise. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coupling noise, global interconnect
47Kathirgamar Aingaran, Fabian Klass, Chin-Man Kim, Chaim Amir, Joydeep Mitra, Eileen You, Jamil Mohd, Sai-keung Dong Coupling Noise Analysis for VLIS and ULSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Crosstalk Analysis, Crosstalk Modeling, Noise
43Rajeshwary Tayade, Vijay Kiran Kalyanam, Sani R. Nassif, Michael Orshansky, Jacob A. Abraham Estimating path delay distribution considering coupling noise. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic delay variation, coupling, crosstalk
42Debjit Sinha, Hai Zhou 0001 Yield driven gate sizing for coupling-noise reduction under uncertainty. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Martin Saint-Laurent A Model for Interlevel Coupling Noise in Multilevel Interconnect Structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Kanak Agarwal, Dennis Sylvester, David T. Blaauw Modeling and analysis of crosstalk noise in coupled RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Takashi Sato, Yu Cao 0001, Kanak Agarwal, Dennis Sylvester, Chenming Hu Bidirectional closed-form transformation between on-chip coupling noise waveforms and interconnect delay-change curves. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Ajoy Kumar Palit, Volker Meyer, Walter Anheier, Jürgen Schlöffel ABCD Modeling of Crosstalk Coupling Noise to Analyze the Signal Integrity Losses on the Victim Interconnect in DSM Chips. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Masaru Takahashi, Boon-Keat Tan, Hiroshi Iwamura, Toshimasa Matsuoka, Kenji Taniguchi 0001 A study of robustness and coupling-noise immunity on simultaneous data transfer CDMA bus interface. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Debjit Sinha, Hai Zhou 0001 Gate-size optimization under timing constraints for coupling-noise reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Weize Xu, Eby G. Friedman A substrate noise circuit for accurately testing mixed-signal ICs. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Rajeshwary Tayade, Jacob A. Abraham Critical Path Selection for Delay Test Considering Coupling Noise. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Coupling noise, weighted partial max sat, critical path selection, delay test
33Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Capturing crosstalk-induced waveform for accurate static timing analysis. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF capacitive coupling noise, delay calculation, slope propagation, static timing analysis, crosstalk noise
32Baohua Wang, Pinaki Mazumder EM Wave Coupling Noise Modeling Based on Chebyshev Approximation and Exact Moment Formulation. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Chih-Liang Huang, Aurobindo Dasgupta An Improved method for Fast Noise Estimation based on Net Segmentation. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Martin Kuhlmann, Sachin S. Sapatnekar Exact and efficient crosstalk estimation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Martin Kuhlmann, Sachin S. Sapatnekar, Keshab K. Parhi Efficient Crosstalk Estimation. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Chung-Kuan Tsai, Malgorzata Marek-Sadowska Modeling Crosstalk Induced Delay. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Andrew B. Kahng, Sudhakar Muddu, Niranjan Pol, Devendra Vidhani Noise Model for Multiple Segmented Coupled RC Interconnects. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Toshinari Takayanagi, Jinuk Luke Shin, Bruce Petrick, Jeffrey Y. Su, Ana Sonia Leon A dual-core 64b ultraSPARC microprocessor for dense server applications. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF L2, UltraSPARC, coupling noise, deep submicron technology, dense server, dual-core, throughput computing, cache, multiprocessor, leakage, NBTI, negative bias temperature instability
27Gin Yee, Tyler Thorp, Ron Christopherson, Ban P. Wang, Carl Sechen An Automated Shielding Algorithm and Tool For Dynamic Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Ajoy Kumar Palit, Lei Wu, Kishore K. Duganapalli, Walter Anheier, Jürgen Schlöffel A New, Flexible and Very Accurate Crosstalk Fault Model to Analyze the Effects of Coupling Noise between the Interconnects on Signal Integrity Losses in Deep Submicron Chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF crosstalk model, aggressor-victim, ABCD-model, crosstalk-hazards, signal integrity
24Ravishankar Arunachalam, Emrah Acar, Sani R. Nassif Optimal shielding/spacing metrics for low power design. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David T. Blaauw Statistical interconnect metrics for physical-design optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Kanak Agarwal, Dennis Sylvester, David T. Blaauw A simplified transmission-line based crosstalk noise model for on-chip RLC wiring. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24David T. Blaauw, Supamas Sirichotiyakul, Chanhee Oh Driver modeling and alignment for worst-case delay noise. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Liang Deng, Martin D. F. Wong Optimal Algorithm for Minimizing the Number of Twists in an On-Chip Bus. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Chandramouli Visweswariah, Ruud A. Haring, Andrew R. Conn Noise considerations in circuit optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Masanori Hashimoto, Masao Takahashi, Hidetoshi Onodera Crosstalk noise optimization by post-layout transistor sizing. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF capacitive coupling noise, post-layout optimization, gate sizing, transistor sizing, crosstalk noise
20Medha Kulkarni, Tom Chen 0001 A sensitivity-based approach to analyzing signal delay uncertainty of coupled interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Medha Kulkarni, Tom Chen 0001 A Sensitivity Based Approach to Analyzing Signal Delay Uncertainty of Coupled Interconnects. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Murat R. Becer, David T. Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov, Jingyan Zuo, Rafi Levy, Ibrahim N. Hajj A Global Driver Sizing Tool for Functional Crosstalk Noise Avoidance. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Kevin T. Tang, Eby G. Friedman Peak noise prediction in loosely coupled interconnect [VLSI circuits]. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Lauren Hui Chen, Malgorzata Marek-Sadowska Aggressor alignment for worst-case crosstalk noise. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Kenneth L. Shepard, Vinod Narayanan, Peter C. Elmendorf, Gutuan Zheng Global harmony: coupled noise analysis for full-chip RC interconnect networks. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnect, noise, static timing analysis
15Loreto Pescosolido, Sergio Barbarossa Distributed decision in sensor networks based on local coupling through Pulse Position Modulated signals. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Christoph Albrecht, Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu, Alexander Zelikovsky On the skew-bounded minimum-buffer routing tree problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Charles J. Alpert, Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu, Alexander Zelikovsky Minimum buffered routing with bounded capacitive load for slew rate and reliability control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Sarvesh Bhardwaj, Sarma B. K. Vrudhula, David T. Blaauw Estimation of signal arrival times in the presence of delay noise. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Renatas Jakushokas, Eby G. Friedman Simultaneous shield and repeater insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF delay, interconnects, noise, power, area
14Husni M. Habal, Kartikeya Mayaram, Terri S. Fiez Accurate and efficient simulation of synchronous digital switching noise in systems on a chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Feng Qian 0005, Haowei Hua, Yuhang Wen, Jingjing Zong, Gulan Zhang, Guangmin Hu Unsupervised Intense VSP Coupling Noise Suppression With Iterative Robust Deep Learning. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Zahra Aminzare, Vaibhav Srivastava Stochastic synchronization in nonlinear network systems driven by intrinsic and coupling noise. Search on Bibsonomy Biol. Cybern. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Selahattin Sayil, Subed Lamichhane, Kutay Sayil Coupling Noise Mitigation using a Pass Transistor. Search on Bibsonomy ISVLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Makoto Yabuuchi, Yasumasa Tsukamoto, Hidehiro Fujiwara, Miki Tanaka, Shinji Tanaka, Koji Nii A 28-nm 1R1W Two-Port 8T SRAM Macro With Screening Circuitry Against Read Disturbance and Wordline Coupling Noise Failures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Jose L. Silva-Perales, Daniel Garcia-Garcia, Carlos J. Franco-Tinoco Impedance vs coupling noise analysis and tradeoff on power delivery filters based on package layout interconnections. Search on Bibsonomy LASCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Yongsheng Wang, Min Wang, Huaixin Xian, Yunfei Du, Bei Cao, Xiaowei Liu Influence of substrate coupling noise to clock and data recovery. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Vasileios Gerakis, Alkis A. Hatzopoulos Substrate coupling noise considerations for frequencies up to 100GHz. Search on Bibsonomy MIXDES The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Yahia Hassan, Raphael T. L. Rolny, Armin Wittneben MIMO relaying with compact antenna arrays: Coupling, noise correlation and superdirectivity. Search on Bibsonomy PIMRC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Yuan-Ying Chang, Yoshi Shih-Chieh Huang, Vijaykrishnan Narayanan, Chung-Ta King ShieldUS: A novel design of dynamic shielding for eliminating 3D TSV crosstalk coupling noise. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Yongsheng Wang, Fang Li, Hualing Yang, Yonglai Zhang, Yanhui Ren 3D hybrid modeling of substrate coupling noise in lightly doped mixed-signal ICs. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Victoria Vishnyakov, Eby G. Friedman, Avinoam Kolodny Multi-aggressor capacitive and inductive coupling noise modeling and mitigation. Search on Bibsonomy Microelectron. J. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Debjit Sinha, Alex Rubin, Chandu Visweswariah, Frank Borkam, Gregory Schaeffer, Soroush Abbaspour Feasible Aggressor-Set Identification Under Constraints for Maximum Coupling Noise. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Rajeshwary Tayade, Jacob A. Abraham Critical Path Selection for Delay Testing Considering Coupling Noise. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Rajeshwary Tayade, Jacob A. Abraham Critical Path Selection for Delay Test Considering Coupling Noise. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Rajendran Panda, Vladimir Zolotov, Murat R. Becer Coupling Noise. Search on Bibsonomy Handbook of Algorithms for Physical Design Automation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye Measurement and Analysis of Inductive Coupling Noise in 90 nm Global Interconnects. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Debjit Sinha, Gregory Schaeffer, Soroush Abbaspour, Alex Rubin, Frank Borkam Constrained aggressor set selection for maximum coupling noise. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Jingye Xu, Masud H. Chowdhury Accurate Delay Estimation in the Presence of Coupling Noise using Complete Waveform Accuracy. Search on Bibsonomy ICECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Jeong-Yeol Kim, Ho-Soon Shin, Jong-Bae Lee, Moon-Hyun Yoo, Jeong-Taek Kong SilcVerify: An Efficient Substrate Coupling Noise Simulation Tool for High-Speed & Nano-Scaled Memory Design. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Weize Xu, Eby G. Friedman On-chip test circuit for measuring substrate and line-to-line coupling noise. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Payam Heydari, Massoud Pedram Capacitive coupling noise in high-speed VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Masud H. Chowdhury, Yehea I. Ismail Analysis of coupling noise and it's scalability in dynamic circuits [dynamic logic CMOS ICs]. Search on Bibsonomy CICC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Young-Jun Lee, Yong-Bin Kim A fast and precise interconnect capacitive coupling noise model. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
13Sarma B. K. Vrudhula, David T. Blaauw, Supamas Sirichotiyakul Probabilistic analysis of interconnect coupling noise. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Masud H. Chowdhury, Yehea I. Ismail Analysis of Coupling Noise in Dynamic Circuit. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Sarma B. K. Vrudhula, David T. Blaauw, Supamas Sirichotiyakul Estimation of the likelihood of capacitive coupling noise. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF noise, signal integrity, deep submicron
13Payam Heydari, Massoud Pedram Analysis and Reduction of Capacitive Coupling Noise in High-Speed VLSI Circuits. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Lauren Hui Chen, Malgorzata Marek-Sadowska Aggressor alignment for worst-case coupling noise. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF aggressor alignment, interconnect coupling, signal integrity, crosstalk noise, timing window
13Guoan Zhong, Cheng-Kok Koh, Kaushik Roy 0001 A Twisted Bundle Layout Structure for Minimizing Inductive Coupling Noise. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Kevin T. Tang, Eby G. Friedman Interconnect coupling noise in CMOS VLSI circuits. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Dong-Sun Min, Dietrich W. Langer Multiple twisted data line techniques for coupling noise reduction in embedded DRAMs. Search on Bibsonomy CICC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Emrah Acar, Altan Odabasioglu, Mustafa Celik, Lawrence T. Pileggi S2P: A Stable 2-Pole RC Delay and Coupling Noise Metric. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Khalid Rahmat, José Neves 0002, Jin-Fuw Lee Methods for calculating coupling noise in early design: a comparative analysis. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13S. Matsushita, T. Moto-Oka Magnitude of Cross-Coupling Noise in Digital Multiwire Transmission Lines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
12Zaid Al-Ars, Martin Herzog, Ivo Schanstra, Ad J. van de Goor Influence of Bit Line Twisting on the Faulty Behavior of DRAMs. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Bit line twisting, bit line coupling, DRAMs, crosstalk noise, defect simulation, faulty behavior
12Li Ding 0002, Pinaki Mazumder The Impact of Bit-Line Coupling and Ground Bounce on CMOS SRAM Performance. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Yehea I. Ismail On-chip inductance cons and pros. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Rutuparna Tamhankar, Srinivasan Murali, Stergios Stergiou, Antonio Pullini, Federico Angiolini, Luca Benini, Giovanni De Micheli Timing-Error-Tolerant Network-on-Chip Design Methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Qing K. Zhu Memory Generation and Power Distribution In SOC. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Selçuk Köse, Emre Salman, Zeljko Ignjatovic, Eby G. Friedman Pseudo-random clocking to enhance signal integrity. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 Low-Power and testable circuit synthesis using Shannon decomposition. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Shannon expansion, dynamic supply gating, test coverage, Design-for-test, IDDQ, noise immunity, test power
10Ravikishore Gandikota, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester, Murat R. Becer, Joao Geada Victim alignment in crosstalk aware timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yuxin Wang, Zeljko Ignjatovic On-Chip Substrate Noise Suppression Using Clock Randomization Methodology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Rutuparna Tamhankar, Srinivasan Murali, Giovanni De Micheli Performance driven reliable link design for networks on chips. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF aggressive design, performance, reliability, networks on chips, link
10Desmond Kirkpatrick, Peter J. Osler, Louis Scheffer, Prashant Saxena, Dennis Sylvester The great interconnect buffering debate: are you a chicken or an ostrich? Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
7Kip Killpack, Suriyaprakash Natarajan, Arun Krishnamachary, Pouria Bastani Case Study on Speed Failure Causes in a Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Rostislav (Reuven) Dobkin, Arkadiy Morgenshtein, Avinoam Kolodny, Ran Ginosar Parallel vs. serial on-chip communication. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-rail, long-range interconnect serial link, parallel link, asynchronous circuits
7Jingye Xu, Pervez Khaled, Masud H. Chowdhury Full waveform accuracy to estimate delay in coupled digital circuits. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Herng-Jer Lee, Chia-Chi Chu, Wu-Shiung Feng Crosstalk estimation in high-speed VLSI interconnect using coupled RLC-tree models. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #91 of 91 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license