The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for crosstalk with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1976 (16) 1977-1983 (17) 1984-1990 (19) 1991-1993 (22) 1994-1995 (17) 1996 (16) 1997 (16) 1998 (23) 1999 (40) 2000 (66) 2001 (69) 2002 (92) 2003 (104) 2004 (131) 2005 (116) 2006 (147) 2007 (125) 2008 (118) 2009 (80) 2010 (76) 2011 (67) 2012 (70) 2013 (62) 2014 (79) 2015 (77) 2016 (76) 2017 (77) 2018 (78) 2019 (94) 2020 (80) 2021 (74) 2022 (81) 2023 (78) 2024 (14)
Publication types (Num. hits)
article(912) book(1) incollection(2) inproceedings(1390) phdthesis(12)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(101) OFC(84) ISQED(60) IEEE Trans. Commun.(58) IEEE Trans. Very Large Scale I...(56) VLSI Design(49) DATE(48) DAC(41) ICCAD(41) ISCAS(40) ECOC(36) ASP-DAC(35) CoRR(33) ICC(27) ICCD(26) ITC(26) More (+10 of total 502)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 720 occurrences of 377 keywords

Results
Found 2317 publication records. Showing 2317 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
126Mingjing Chen, Alex Orailoglu Deflecting crosstalk by routing reconsideration through refined signal correlation estimation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF signal correlation, routing, crosstalk
112Prashant Saxena, C. L. Liu 0001 A postprocessing algorithm for crosstalk-driven wire perturbation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
110Zheng Rong Yang Crosstalk and Signalling Pathway Complexity - A Case Study on Synthetic Models. Search on Bibsonomy HAIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF signalling pathways, gene expression order, Crosstalk, differential equations, transcription, degradation, steady-state analysis
110Xiaoliang Bai, Sujit Dey High-level Crosstalk Defect Simulation for System-on-Chip Interconnects. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF System-on-Chip, Crosstalk, Interconnect test, Defect simulation, High level
108Ajoy Kumar Palit, Kishore K. Duganapalli, Walter Anheier Modeling of Crosstalk Fault in Defective Interconnects. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect-based-crosstalk fault model, signal integrity losses, aggres-sor-victim, ABCD-model, crosstalk-hazards
103Iris Hui-Ru Jiang, Song-Ra Pan, Yao-Wen Chang, Jing-Yang Jou Reliable crosstalk-driven interconnect optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF post-layout optimization, VLSI, interconnect, lagrangian relaxation
103Tianxiong Xue, Ernest S. Kuh, Dongsheng Wang 0012 Post global routing crosstalk synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
102Shahin Nazarian, Massoud Pedram, Emre Tuncer An empirical study of crosstalk in VDSM technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic test pattern generation (ATPG) tool, crosstalk induced slowdown and speedup, transition time, static timing analysis (STA), skew
95Yi Zhao, Sujit Dey Fault-coverage analysis techniques of crosstalk in chip interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
93Narender Hanchate, Nagarajan Ranganathan A game-theoretic framework for multimetric optimization of interconnect delay, power, and crosstalk noise during wire sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Game theory, transmission lines, crosstalk noise, interconnect models, wire sizing, interconnect delay
87Xiaoliang Bai, Sujit Dey High-level crosstalk defect Simulation methodology for system-on-chip interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
87Tzyy-Kuen Tien, Shih-Chieh Chang, Tong-Kai Tsai Crosstalk alleviation for dynamic PLAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
85Sachin Shrivastava, Harindranath Parameswaran Statistical Crosstalk Noise Analysis Using First Order Parameterized Approach for Aggressor Grouping. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statistical crosstalk, aggressor grouping
85Narender Hanchate, Nagarajan Ranganathan Simultaneous Interconnect Delay and Crosstalk Noise Optimization through Gate Sizing Using Game Theory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Game theory, gate sizing, transmission lines, crosstalk noise, interconnect models, interconnect delay
81Jiaxing Sun, Yun Zheng, Qing Ye, Tianchun Ye 0001 Worst-Case Crosstalk Noise Analysis Based on Dual-Exponential Noise Metrics. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF crosstalk noise metrics, peak noise window, worst-case crosstalk noise, crosstalk noise
79Ferdi A. Smit, Robert van Liere, Bernd Froehlich 0001 Non-Uniform Crosstalk Reduction for Dynamic Scenes. Search on Bibsonomy VR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
79Michele Favalli, Cecilia Metra TMR voting in the presence of crosstalk faults at the voter inputs. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
79Jinjun Xiong, Lei He 0001 Full-chip routing optimization with RLC crosstalk budgeting. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
79Tilmann Stöhr, Markus Alt, Asmus Hetzel, Jürgen Koehl Analysis, reduction and avoidance of crosstalk on VLSI chips. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
77Yiyuan Xie, Mahdi Nikdast, Jiang Xu 0001, Wei Zhang 0012, Qi Li 0013, Xiaowen Wu, Yaoyao Ye, Xuan Wang 0001, Weichen Liu Crosstalk noise and bit error rate analysis for optical network-on-chip. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optical network-on-chip, crosstalk, BER, SNR
77Peng-Yang Hung, Ying-Shu Lou, Yih-Lang Li Minimum Shield Insertion on Full-Chip RLC Crosstalk Budgeting Routing. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Shield insertion, track routing, crosstalk optimization, global routing
77Chen Yu, Yasushi Inoguchi, Susumu Horiguchi Overall Blocking Behavior Analysis on Banyan-Based Optical Switching Networks Under Crosstalk Constraint. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF vertical stacking optical banyan, degree of crosstalk, blocking probability, banyan networks, Optical switching networks
77Yici Cai, Bin Liu 0007, Yan Xiong, Qiang Zhou 0001, Xianlong Hong Priority-Based Routing Resource Assignment Considering Crosstalk. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF track reservation, routing, VLSI, crosstalk, resource assignment
77Natasha Young, Zheng Rong Yang Multivariate Crosstalk Models. Search on Bibsonomy IDEAL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multivariate models, crosstalk, systems biology
77Paul Winward, David E. Goldberg Fluctuating crosstalk, deterministic noise, and GA scalability. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF deterministic noise, fluctuating crosstalk, genetic algorithm, scalability, problem difficulty
77Hailong Yao, Yici Cai, Qiang Zhou 0001, Xianlong Hong Crosstalk-Aware Routing Resource Assignment. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF track/layer assignment, routing, VLSI, interconnect, physical design, crosstalk
77Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Capturing crosstalk-induced waveform for accurate static timing analysis. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF capacitive coupling noise, delay calculation, slope propagation, static timing analysis, crosstalk noise
77Yajun Ran, Malgorzata Marek-Sadowska Crosstalk noise in FPGAs. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGAs, noise, crosstalk, switch box
77Masanori Hashimoto, Masao Takahashi, Hidetoshi Onodera Crosstalk noise optimization by post-layout transistor sizing. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF capacitive coupling noise, post-layout optimization, gate sizing, transistor sizing, crosstalk noise
70Waleed K. Al-Assadi, Sindhu Kakarla A BIST Technique for Crosstalk Noise Detection in FPGAs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
70Mini Nanua, David T. Blaauw Investigating Crosstalk in Sub-Threshold Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
70Raid Ayoub, Alex Orailoglu A unified transformational approach for reductions in fault vulnerability, power, and crosstalk noise & delay on processor buses. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
70Aniket, Ravishankar Arunachalam Novel Algorithm for Testing Crosstalk Induced Delay Faults in VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
70Chunjie Duan, Sunil P. Khatri Exploiting Crosstalk to Speed up On-Chip Buse. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
70Tzyy-Kuen Tien, Tong-Kai Tsai, Shih-Chieh Chang Crosstalk Alleviation for Dynamic PLAs. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
70Xiaohong Jiang 0001, Hong Shen 0001, Md. Mamun-ur-Rashid Khandker, Susumu Horiguchi A New Scheme to Realize Crosstalk-free Permutations in Optical MINs with Vertical Stacking. Search on Bibsonomy ISPAN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
69Murthy Palla, Jens Bargfrede, Klaus Koch, Walter Anheier, Rolf Drechsler Adaptive Branch and Bound Using SAT to Estimate False Crosstalk. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Crosstalk Analysis, False Noise, STA, SAT Solver
69Ying Zhang 0040, Huawei Li 0001, Xiaowei Li 0001, Yu Hu 0001 Codeword Selection for Crosstalk Avoidance and Error Correction on Interconnects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Codeword Selection, Crosstalk Avoidance, Reliable Bus
69Huawei Li 0001, Xiaowei Li 0001 Selection of Crosstalk-Induced Faults in Enhanced Delay Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic test pattern generation (ATPG), crosstalk, delay test, critical paths
69Li Chen, Xiaoliang Bai, Sujit Dey Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect, crosstalk, processor, self-test
69Paul B. Morton, Wayne Wei-Ming Dai Crosstalk noise estimation for noise management. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF noise management, optimal spacing, noise, estimation, crosstalk, local approximation
69Steven J. E. Wilton A crosstalk-aware timing-driven router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, routing algorithms, crosstalk
69José Antonio Sainz, R. Muñoz, J. A. Maiz, L. A. Aguado, Miquel Roca 0001 A Crosstalk Sensor Implementation for Measuring Interferences in Digital CMOS VLSI Circuits. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, Sensor, CMOS, Crosstalk, Digital
69Dongsheng Wang 0012, Ernest S. Kuh A Performance-Driven MCM Router with Special Consideration of Crosstalk Reduction. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF MCM routing, crosstalk reduction
67Partha Pratim Pande, Haibo Zhu, Amlan Ganguly, Cristian Grecu Energy Reduction through Crosstalk Avoidance Coding in NoC Paradigm. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk avoidance codes, interconnect energy, networks on chip, crosstalk, wormhole switching
67Ajoy Kumar Palit, Lei Wu, Kishore K. Duganapalli, Walter Anheier, Jürgen Schlöffel A New, Flexible and Very Accurate Crosstalk Fault Model to Analyze the Effects of Coupling Noise between the Interconnects on Signal Integrity Losses in Deep Submicron Chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF crosstalk model, aggressor-victim, ABCD-model, crosstalk-hazards, signal integrity
67Jens Lienig Channel and Switchbox Routing with Minimized Crosstalk - A Parallel Genetic Algorithm Approach. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF minimized crosstalk, interconnection routing, interconnection crosstalk, VLSI channel routing, VLSI switchbox routing, distributed workstation network, VLSI, VLSI design, parallel genetic algorithm
66Wu-An Kuo, Yi-Ling Chiang, TingTing Hwang, Allen C.-H. Wu Performance-Driven Crosstalk Elimination at Postcompiler Level-The Case of Low-Crosstalk Op-Code Assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
62Alodeep Sanyal, Abhisek Pan, Sandip Kundu A study on impact of aggressor de-rating in the context of multiple crosstalk effects in circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF capacitive cross-coupling, dynamic simulation., static analysis
62Mini Nanua, David T. Blaauw Crosstalk Waveform Modeling Using Wave Fitting. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
62Mini Nanua, David T. Blaauw Receiver Modeling for Static Functional Crosstalk Analysis. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Statistical crosstalk aggressor alignment aware interconnect delay calculation. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62Kan Takeuchi, Kazumasa Yanagisawa, Takashi Sato, Kazuko Sakamoto, Saburo Hojo Probabilistic crosstalk delay estimation for ASICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Wing-Kin Ma, Pak-Chung Ching, Ba-Ngu Vo Crosstalk resilient interference cancellation in microphone arrays using Capon beamforming. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Lin Li 0002, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin A Crosstalk Aware Interconnect with Variable Cycle Transmission. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Yi-Yu Liu, Kuo-Hua Wang, TingTing Hwang Crosstalk Minimization in Logic Synthesis for PLA. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Shahdad Irajpour, Sandeep K. Gupta 0001, Melvin A. Breuer Timing-Independent Testing of Crosstalk in the Presence of Delay Producing Defects Using Surrogate Fault Models. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Madhu Mutyam Preventing Crosstalk Delay using Fibonacci Representation. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Shih-Hsu Huang, Yi-Siang Hsu A timing driven approach for crosstalk minimization in gridded channel routing. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
62Janusz Konrad, Bertrand Lacotte, Eric Dubois 0002 Cancellation of image crosstalk in time-sequential displays of stereoscopic video. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
62Bruno Franzini, Cristiano Forzan, Davide Pandini, Primo Scandolara, Alessandro Dal Fabbro Crosstalk Aware Static Timing Analysis: A Two Step Approach. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
62Ki-Wook Kim, Unni Narayanan, Sung-Mo Kang Domino logic synthesis minimizing crosstalk. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
62Xiaoliang Bai, Sujit Dey, Janusz Rajski Self-test methodology for at-speed test of crosstalk in chip interconnects. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
60Yu-Ning Chang, Yih-Lang Li, Wei-Tin Lin, Wen-Nai Cheng Non-slicing floorplanning-based crosstalk reduction on gridless track assignment for a gridless routing system with fast pseudo-tile extraction. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF crosstalk reduction, full-chip routing, gridless routing, implicit connection graph-based router, non-slicing floorplanning, detailed routing
60Arthur Nieuwoudt, Jamil Kawa, Yehia Massoud Investigating the Impact of Fill Metal on Crosstalk-Induced Delay and Noise. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fill generation, crosstalk, Design for manufacturability, dummy fill
60C. R. Venugopal, Prasanth Soraiyur, Jagannath Rao Evaluation of the PTSI Crosstalk Noise Analysis Tool and Development of an Automated Spice Correlation Suite to Enable Accuracy Validation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Noise Propagation, Noise Immunity Curve, Crosstalk
60Jae-Seok Yang, Andrew R. Neureuther Crosstalk Noise Variation Assessment and Analysis for the Worst Process Corner. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF worst corner, noise, crosstalk, variation, signal integrity
60Youming Li, Amir Leshem, Liming Fang Computationally efficient approximated matrix inversion with application to crosstalk precoding in downstream VDSL. Search on Bibsonomy IWCMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF crosstalk cancellation, matrix splitting, vectoring, digital subscriber line
60Yi-Yu Liu, Kuo-Hua Wang, TingTing Hwang Crosstalk minimization in logic synthesis for PLAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF synthesis, Crosstalk, PLA, domino logic
60Shahin Nazarian, Ali Iranli, Massoud Pedram Crosstalk analysis in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk-aware delay, correlation, process variations, variance, mean, statistical static timing analysis
60Ajoy Kumar Palit, Kishore K. Duganapalli, Walter Anheier Influence of Resistive Bridging Fault on Crosstalk Coupling Effects in On-Chip Aggressor-Victim Interconnects. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defective interconnects, defect’s severity, fault model, crosstalk, bridging fault
60Melvin A. Breuer, Sandeep K. Gupta 0001, Shahin Nazarian Efficient Identification of Crosstalk Induced Slowdown Targets. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF required time, slow-down effect, filters, Crosstalk, static timing analysis, extractors
60Jihong Ren, Mark R. Greenstreet Synthesizing optimal filters for crosstalk-cancellation for high-speed buses. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF equalizing filters, optimal synthesis, crosstalk, buses
60Hai Zhou 0001, D. F. Wong 0001 Optimal river routing with crosstalk constraints. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF river routing, crosstalk
60Zhan Chen, Israel Koren Crosstalk Minimization in Three-Layer HVH Channel Routing. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF design for reliability, routing, crosstalk
60Hai Zhou 0001, D. F. Wong 0001 An optimal algorithm for river routing with crosstalk constraints. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF river routing, physical design, crosstalk, detailed routing
58Abby A. Ilumoka Efficient prediction of interconnect crosstalk using neural networks. Search on Bibsonomy ICTAI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect crosstalk prediction, deep submicron downscaling, wirecells, modular artificial neural networks, multiparadigm prototyping system, equicoupling contours, isocouples, transconductance amplifier, neural networks, delays, delay, finite element method, neural nets, crosstalk, experimental results, circuit CAD, finite element analysis, circuit simulation, circuit simulator, integrated circuit interconnections, wafer-scale integration, wafer scale integration
58Narender Hanchate, Nagarajan Ranganathan Integrated Gate and Wire Sizing at Post Layout Level. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
58Ming-Fu Hsiao, Malgorzata Marek-Sadowska, Sao-Jie Chen Minimizing Inter-Clock Coupling Jitter. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
56Krishna Sekar, Sujit Dey LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF LI-BIST, crosstalk test, BIST, SoC test, low-power test
54Hariharan Sankaran, Srinivas Katkoori On-chip dynamic worst-case crosstalk pattern detection and elimination for bus-based macro-cell designs. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
54Arash Mehdizadeh, Morteza Saheb Zamani Proposing an efficient method to estimate and reduce crosstalk after placement in VLSI circuits. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
54Truong Quang Vinh, Young-Chul Kim 0001 A low power crosstalk-free bus encoding using genetic algorithm. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
54Yi-Yu Liu, TingTing Hwang Crosstalk-Aware Domino-Logic Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Kunal P. Ganeshpure, Sandip Kundu Interactive presentation: Automatic test pattern generation for maximal circuit noise in multiple aggressor crosstalk faults. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Syed Rafay Hasan, Yvon Savaria Crosstalk Effects in Event-Driven Self-Timed Circuits Designed With 90nm CMOS Technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Nahmsuk Oh, Alireza Kasnavi, Peivand F. Tehrani Fast Crosstalk Repair by Quick Timing Change Estimation. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Amit Kumar 0004, Krishnendu Chakrabarty, Chunduri Rama Mohan An ECO Technique for Removing Crosstalk Violations in Clock Networks. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Yang Shi 0001, Feng Ding 0001, Tongwen Chen Multirate Crosstalk Identification in xDSL Systems. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Yi-Yu Liu, TingTing Hwang Crosstalk-aware domino logic synthesis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Shahin Nazarian, Massoud Pedram, Sandeep K. Gupta 0001, Melvin A. Breuer STAX: statistical crosstalk target set compaction. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compaction degree, fault-producing target, pruning power, safe target, statistical static timing analyzer, ATPG
54Wu-An Kuo, Yi-Ling Chiang, TingTing Hwang, Allen C.-H. Wu Performance-driven crosstalk elimination at post-compiler level. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Chia-Chun Tsai, Jan-Ou Wu, Chien-Wen Kao, Trong-Yen Lee, Rong-Shue Hsiao Coupling aware RLC-based clock routings for crosstalk minimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Hiroshi Takahashi, Keith J. Keller, Kim T. Le, Kewal K. Saluja, Yuzo Takamatsu A method for reducing the target fault list of crosstalk faults in synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Chin-Hui Wang, Yung-Ching Chen, Tsai-Ming Hsieh, Chih-Hung Lee, Hsin-Hsiung Huang A new congestion and crosstalk aware router. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Sachin Shrivastava, Sreeram Chandrasekar Crosstalk Noise Analysis at Multiple Frequencies. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Suvodeep Gupta, Srinivas Katkoori, Hariharan Sankaran Floorplan-Based Crosstalk Estimation for Macrocell-Based Designs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Ajoy Kumar Palit, Volker Meyer, Walter Anheier, Jürgen Schlöffel ABCD Modeling of Crosstalk Coupling Noise to Analyze the Signal Integrity Losses on the Victim Interconnect in DSM Chips. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54V. Saminadan, Meenakshi Murugappan In-Band Crosstalk Performance of WDM Optical Networks Under Different Routing and Wavelength Assignment Algorithms. Search on Bibsonomy IWDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Di Wu 0017, Jiang Hu, Rabi N. Mahapatra, Min Zhao 0001 Layer assignment for crosstalk risk minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 2317 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license