The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase e-address (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1951-1959 (17) 1960-1964 (17) 1965-1968 (16) 1969-1973 (17) 1974-1975 (21) 1976-1977 (20) 1978 (21) 1979-1980 (22) 1981-1982 (18) 1983-1984 (38) 1985 (34) 1986 (23) 1987 (45) 1988 (74) 1989 (71) 1990 (99) 1991 (59) 1992 (107) 1993 (123) 1994 (160) 1995 (253) 1996 (309) 1997 (322) 1998 (383) 1999 (626) 2000 (766) 2001 (871) 2002 (1191) 2003 (1459) 2004 (2048) 2005 (2566) 2006 (2943) 2007 (3241) 2008 (3256) 2009 (2200) 2010 (598) 2011 (171) 2012 (165) 2013 (162) 2014 (176) 2015 (165) 2016 (197) 2017 (197) 2018 (201) 2019 (208) 2020 (237) 2021 (203) 2022 (231) 2023 (220) 2024 (43)
Publication types (Num. hits)
article(5457) book(1) data(3) incollection(122) inproceedings(20967) phdthesis(58) proceedings(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 28006 occurrences of 9823 keywords

Results
Found 26611 publication records. Showing 26610 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
65James Kempf, Jonathan Wood, Zulfikar Ramzan, Craig Gentry IP Address Authorization for Secure Address Proxying Using Multi-key CGAs and Ring Signatures. Search on Bibsonomy IWSEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF secure address proxy, SEND, multi-key cryptographically generated address, Mobile IPv6, ring signature
65Sargur N. Srihari, Yong-Chul Shin, Vemulapati Ramanaprasad, Dar-Shyang Lee Name and Address Block Reader system for tax form processing. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF tax preparation, Name and Address Block Reader system, tax form processing, automated forms processing, integrated real time system, Internal Revenue Service, NABR system, hand printed address block images, machine printed addresses, address block extraction, label detection, hand print/machine print discrimination, address parsing, postal database lookup, loosely coupled multiprocessing architecture, real-time systems, feature extraction, character recognition, character recognition, multiprocessing systems, handwriting recognition, document image processing, document analysis, word recognition, government data processing, financial data processing, application software, document recognition, United States, connected component analysis
51Marlen Stacey Chawani, Jens Kaasbøll, Sisse Finken, Jo Herstad, Address Malata Balancing Work Practices and Protocols in the Design of EMR Systems: The Case of Developing an EMR System for Antenatal Care Services in Malawi. Search on Bibsonomy Electron. J. Inf. Syst. Dev. Ctries. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
49Jinsuo Zhang The predictability of load address. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF context predictor, global context predictor, last address predictor, load address prediction, stack coloring, stride predictor, program behavior, hybrid predictor
47P. Nagabhushan, Shanmukhappa A. Angadi, Basavaraj S. Anami Symbolic Data Structure for Postal Address Representation and Address Validation Through Symbolic Knowledge Base. Search on Bibsonomy PReMI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Postal Address validation, Symbolic object, knowledge base, Frames
46Rajendra S. Katti Nonprime Memory Systems and Error Correction in Address Translation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF logical address, physical address, error detection, error correction, memory systems, vector processors, Address translation
45Jun Bi, Jianping Wu, Miao Zhang Enable a Trustworthy Network by Source Address Spoofing Prevention Routers: A Formal Description. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Source Address Spoofing, Source Address Validation, Network Security
45Jeffrey S. Chase, Henry M. Levy, Michael J. Feeley, Edward D. Lazowska Sharing and Protection in a Single-Address-Space Operating System. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF capability-based systems, microkernel operating systems, single-address-space operating systems, wide-address architectures, protection, object-oriented database systems, persistent storage, 64-bit architectures
45Michael S. Allen, W. Kurt Lewchuk, J. D. Coddington A high performance bus and cache controller for PowerPC multiprocessing systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high performance bus, cache controller, PowerPC 620 microprocessor, system bus interface, ECC protected, server-class systems, physical address bus, data bus, address transfer rates, address snoop response, direct cache-to-cache data transfers, 2 GByte/s, 133 MHz, 128 MB, performance evaluation, pipelining, multiprocessing systems, multiprocessing systems, pipeline processing, cache storage, microprocessor chips, coprocessors, cache coherency protocol, data transfer, PowerPC, system buses, co-processor
45Mark A. Holliday, Carla Schlatter Ellis Accuracy of Memory Reference Traces of Parallel Computations in Trace-Driven Simulation. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF load sequences, memory reference traces, trace-drive simulation, global trace, shared memory multiprocessingenvironment, address change points, address affecting points, process traces, intrinsic trace, address flow graph, store sequences, partial program reexecution, graph-traceable, parallel program, parallel programming, parallel computations, memory management, storage management, memory architecture, path expressions
43Syed Rafiul Hussain, Subrata Saha, Ashikur Rahman An Efficient and Scalable Address Autoconfiguration in Mobile Ad Hoc Networks. Search on Bibsonomy ADHOC-NOW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Duplicate Address Detection (DAD), Duplicate-IP Detection Server (DDS), IP Address Autoconfiguration
43Bruce L. Jacob, Trevor N. Mudge Software-Managed Address Translation. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF software-managed address translation, memory management design, high clock-rate PowerPC implementation, OSF/1, superpages, sub-page protection, sparse address spaces, shared memory, storage management, Mach
43Yiannakis Sazeides, Stamatis Vassiliadis, James E. Smith 0001 The Performance Potential of Data Dependence Speculation & Collapsing. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF address generation-load dependences, address prediction rate, base instruction level parallel machine, dependence collapsing, performance potential, true data dependences, parallel programming, trace-driven simulation, data dependence speculation, address prediction
41Xiaogang Qiu, Michel Dubois 0001 Moving Address Translation Closer to Memory in Distributed Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic address translation, virtual-address caches, simulations, Multiprocessors, distributed shared memory, virtual memory
40Björn Franke, Michael F. P. O'Boyle Compiler parallelization of C programs for multi-core DSPs with multiple address spaces. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF address resolution, multiple address space compilation, DSPs, data partitioning
39Backhyun Kim, Iksoo Kim Region-Based Multicast Routing Protocol with Dynamic Address Allocation Scheme in Mobile Ad-Hoc Networks. Search on Bibsonomy ISPA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF routing, wireless networks, multicast, Ad-hoc, address allocation
39Jong Won Park An Efficient Buffer Memory System for Subarray Access. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF High-resolution graphical display, buffer memory system, block access, address routing, memory module selection, image processing, address calculation
38Viresh Seth An approach to address identification from degraded address data. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
38Sang-Chul Kim, Jong-Moon Chung Message Complexity Analysis of Mobile Ad Hoc Network Address Autoconfiguration Protocols. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Address Autoconfiguration Protocols, Duplicate Address Detection, Mobile Ad hoc Network, Complexity
38Chin Keong Lee, C. G. Leedham A New Hybrid Approach to Handwritten Address Verification. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF handwritten postal address recognition, hybrid fusion approach, Singapore postal address sorting, postal automation, verification of postcode recognition
38Chan Park, Sang Man Lee, Hyup Jong Kim The Operation of IP and Address Resolution over the ATM LAN Interworking Unit. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF hardware structure, address resolution, ATM LAN interworking unit, ATM LAN switching system, prototyping ATM LAN, IETF RFC1577, IP packets, message flows, address resolution problems, asynchronous transfer mode, routing protocols, routers, IP services, IP over ATM
38T. C. Choinski, T. T. Tylaska Generation of Digit Reversed Address Sequences for Fast Fourier Transforms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF digit reversed address sequences generation, radix-4, binary counter, address sequences, fast Fourier transforms, fast Fourier transforms, hardware design, computerised signal processing, radix-2
37Yuh-Shyan Chen, Shih-Min Lin RAA: A Ring-Based Address Autoconfiguration Protocol in Mobile Ad Hoc Networks. Search on Bibsonomy MSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IP address assignment, RAA, wireless IP, MANET, Autoconfiguration
37Il-kyun Park, Young Han Kim, Sang-san Lee IPv6 Address Allocation in Hybrid Mobile Ad-Hoc Networks. Search on Bibsonomy WSTFEUS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MANET, IPv6, address allocation
36Lixin Zhang 0002, Michael A. Parker, John B. Carter Efficient address remapping in distributed shared-memory systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Smart memory controller, address remapping, shadow address, performance evaluation, multiprocessors, cache coherence
36Masaki Aida, Noriyuki Takahashi Evaluation of the number of destination hosts for data networking and its application to address cache design. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF destination hosts, address cache design, address cache tables capacity, large-scale computer communication networks, packet destination addresses, cache hit probability, aging algorithm, probability, Zipf's law, data networking
36Sreeram Duvvuru, Siamak Arya Evaluation of a branch target address cache. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes
36Mounim A. El-Yacoubi, Jean-Michel Bertille, Michel Gilloux Conjoined location and recognition of street names within a postal address delivery line. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF conjoined location, conjoined recognition, street names, postal address delivery line, handwritten address block image, trigrams, hidden Markov models, hidden Markov models, concatenation, global model
36Jean-Michel Bertille, Michel Gilloux A probabilistic approach to automatic handwritten address reading. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF postal services, automatic handwritten address reading, handwritten mail pieces, system behaviour, handwritten address recognition, reference sets, live mail handwritten envelopes, optical character recognition, handwriting recognition, probabilistic approach
35Jun Shao, Brian T. Davis The Bit-reversal SDRAM Address Mapping. Search on Bibsonomy SCOPES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF address mapping, SDRAM, memory controller
35Nikil D. Dutt, Daniel S. Hirschberg, Mahesh Mamidipaka Efficient Power Reduction Techniques for Time Multiplexed Address Buses. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF address encoding techniques, time-multiplexed addressing, low power
35Uhjin Joung, Dongkyun Kim, Nakjung Choi, Chai-Keong Toh An Efficient Address Assignment Mechanism for Mobile Ad-Hoc Networks. Search on Bibsonomy ICOIN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Bruce L. Jacob, Trevor N. Mudge Uniprocessor Virtual Memory without TLBs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF virtual address translation, virtual caches, software-managed address translation, memory management, Virtual memory, translation lookaside buffers
34Sambuddhi Hettiaratchi, Peter Y. K. Cheung, Thomas J. W. Clarke Performance-Area Trade-Off of Address Generators for Address Decoder-Decoupled Memory. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Kien A. Hua, Lishing Liu, Jih-Kwon Peir Designing High-Performance Processors Using Real Address Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF high-performance processors, real address prediction, cache access path, shorter cycle time, pipeline stages, prediction methods, pipeline processing, buffer storage, address translation
34Thiago Teixeira, Eugenio Culurciello, Joon Hyuk Park, Dimitrios Lymberopoulos, Andrew Barton-Sweeney, Andreas Savvides Address-event imagers for sensor networks: evaluation and modeling. Search on Bibsonomy IPSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF address-event, imager sensor networks, camera sensor networks
34P. Nagabhushan, Shanmukhappa A. Angadi, Basavaraj S. Anami A Fuzzy Symbolic Inference System for Postal Address Component Extraction and Labelling. Search on Bibsonomy FSKD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Postal address component labelling, Fuzzy methodology, Symbolic similarity measure, alpha cut based de-fuzzification, Inference System
34Satoshi Katsunuma, Hiroyuki Kurita, Ryota Shioya, Kazuto Shimizu, Hidetsugu Irie, Masahiro Goshima, Shuichi Sakai Base Address Recognition with Data Flow Tracking for Injection Attack Detection. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF injection attack, base address, data flow tracking, security, vulnerability
34Hovav Shacham, Matthew Page, Ben Pfaff, Eu-Jin Goh, Nagendra Modadugu, Dan Boneh On the effectiveness of address-space randomization. Search on Bibsonomy CCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF address-space randomization, automated attacks, diversity
34J. Ramanujam, Satish Krishnamurthy, Jinpyo Hong, Mahmut T. Kandemir Address Code and Arithmetic Optimizations for Embedded Systems. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF address arithmetic optimization, array access to scalar access conversion, embedded systems, compiler optimizations, pointers
34Michael Bekerman, Stéphan Jourdan, Ronny Ronen, Gilad Kirshenboim, Lihu Rappoport, Adi Yoaz, Uri C. Weiser Correlated Load-Address Predictors. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF context-based predictor, global correlation, load-address prediction, predictor implementation, recursive data structures
34Thomas J. Cook A Dynamic Address Computation Mechanism for Use in Database Management. Search on Bibsonomy SIGMOD Conference The full citation details ... 1978 DBLP  DOI  BibTeX  RDF address computation, segmented-page storage, computer architecture, database management systems, trees
32Dan Wing Network Address Translation: Extending the Internet Address Space. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF NAT44, NAT64, translation, IPv6, NAT, IPv4, Network Address Translation, IPv6 transition
32Robert Beverly, Arthur W. Berger, Young Hyun, kc claffy Understanding the efficacy of deployed internet source address validation filtering. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ip spoofing, source address validation, filtering
32Kun Tu, Kun Bi, Naijie Gu, Wan Li Dong, Xiaohu Liu Mac Address Assignment In Wireless Sensor Networks: A Mixed Strategy Game Approach. Search on Bibsonomy ICSNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MAC address assignment, Mixed strategy game, Sensor networks, Nash equilibrium
32Nitin H. Vaidya Weak duplicate address detection in mobile ad hoc networks. Search on Bibsonomy MobiHoc The full citation details ... 2002 DBLP  DOI  BibTeX  RDF duplicate address detection, mobile ad hoc networks, auto-configuration
32Enric Morancho, José M. Llabería, Àngel Olivé Split Last-Address Predictor. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF speculative execution, dynamic classification, Address prediction
31Eddie Kohler, Jinyang Li 0001, Vern Paxson, Scott Shenker Observed structure of addresses in IP traffic. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF address structures, IP, network measurement, multifractals, address space
31Edward Cohen, Jonathan J. Hull, Sargur N. Srihari Control Structure for Interpreting Handwritten Addresses. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF postal services, character recognition equipment, intelligent handwritten address interpretation system, grey-level address image, syntactic categories, dynamically generated lexicons, destination code, postal directories, knowledge based systems, control structure
30Namhoon Kim, Soyeon Ahn, Younghee Lee AROD: An address autoconfiguration with address reservation and optimistic duplicated address detection for mobile ad hoc networks. Search on Bibsonomy Comput. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Xue Cai, John S. Heidemann Understanding block-level address usage in the visible internet. Search on Bibsonomy SIGCOMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF internet address usage, low-bitrate, median-up, clustering, classification, availability, survey, pattern analysis, volatility, RTT
30Bogdan F. Romanescu, Alvin R. Lebeck, Daniel J. Sorin Specifying and dynamically verifying address translation-aware memory consistency. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF virtual memory, memory consistency, address translation, dynamic verification
30Honglei Guo, Huijia Zhu, Zhili Guo, Xiaoxun Zhang, Zhong Su Address standardization with latent semantic association. Search on Bibsonomy KDD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF address, data standardization
30Jianping Wu, Gang Ren 0003, Xing Li 0001 Building a next generation Internet with source address validation architecture. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IP source address validation, network security, network architecture
30Clodoveu A. Davis Jr., Frederico T. Fonseca Assessing the Certainty of Locations Produced by an Address Geocoding System. Search on Bibsonomy GeoInformatica The full citation details ... 2007 DBLP  DOI  BibTeX  RDF address geocoding, certainty assessment, postal addresses, geographic information systems, spatial databases
30Lizhong Xie, Jun Bi, Jianping Wu An Authentication Based Source Address Spoofing Prevention Method Deployed in IPv6 Edge Network. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Source Address Spoofing, Edge Network, IPv6
30Mansi Ramakrishnan Thoppian, Ravi Prakash 0001 A Distributed Protocol for Dynamic Address Assignment in Mobile Ad Hoc Networks. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MANET, IP-networks, address allocation
30Hilmi Ozdoganoglu, T. N. Vijaykumar, Carla E. Brodley, Benjamin A. Kuperman, Ankit Jalote SmashGuard: A Hardware Solution to Prevent Security Attacks on the Function Return Address. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF function return address, hardware stack, Buffer overflow
30Cheng-Lin Liu, Masashi Koga, Hiromichi Fujisawa Lexicon-Driven Segmentation and Recognition of Handwritten Character Strings for Japanese Address Reading. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Mail address reading, handwritten character string recognition, touching character splitting, character classification, lexicon matching, beam search
30Anupam Basu, Rainer Leupers, Peter Marwedel Register-Constrained Address Computation in DSP Programs. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF DSP compiler, address computation, embedded processors
29Mei Wang A Growth-Based Address Allocation Scheme for IPv6. Search on Bibsonomy NETWORKING The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29David Kotz, Preston Crow The Expected Lifetime of "Single-Address-Space" Operating Systems. Search on Bibsonomy SIGMETRICS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Michael Ferdman, Babak Falsafi Last-Touch Correlated Data Streaming. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF predictor lookahead, last-touch correlated data streaming, address-correlating predictor, cache block address identification, correlation data storage, program active memory footprint, prediction lookahead, off-chip correlation data lookup, scalable on-chip table, low-latency lookup, on-chip storage, last-touch predictor, prefetch, superscalar processor, cycle-accurate simulation
29Mohammad Nazeeruddin, Gerard Parr, Bryan W. Scotney DHAPM: A New Host Auto-configuration Protocol for Highly Dynamic MANETs. Search on Bibsonomy J. Netw. Syst. Manag. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF stateful approach, Mobile Ad Hoc Networks, IP Address, Address autoconfiguration
29Stephen J. Hartley Compile-Time Program Restructuring in Multiprogrammed Virtual Memory Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF multiprogrammed virtual memory, virtual address space, compile-time restructuring, instruction-only address traces, optimum space-time, performance evaluation, data structures, compiler, program compilers, storage management, storage management, multiprogramming, storage allocation, memory allocations, virtual storage, program restructuring
28Wen-Fu Kao, Shyhtsun Felix Wu Lightweight Hardware Return Address and Stack Frame Tracking to Prevent Function Return Address Attack. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Sargur N. Srihari, Wen-jann Yang, Venu Govindaraju Information Theoretic Analysis of Postal Address Fields for Automatic Address Interpretation. Search on Bibsonomy ICDAR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Nak Hee Seong, Dong Hyuk Woo, Hsien-Hsin S. Lee Security refresh: prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic address remapping, security, phase change memory, wear leveling
28Xing Li 0001, CongXiao Bao Address switching: Reforming the architecture and traffic of Internet. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF address switching, security, quality of service, scalability, packet switching, business model, circuit switching
28Hans Vandierendonck, André Seznec Speculative return address stack management revisited. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Return address prediction, back-up predictor, corruption detection
28Guillermo Talavera, Murali Jayapala, Jordi Carrabina, Francky Catthoor Address Generation Optimization for Embedded High-Performance Processors: A Survey. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, embedded, address generation
28Li-Hsing Yen, Wei-Ting Tsai Flexible Address Configurations for Tree-Based ZigBee/IEEE 802.15.4 Wireless Networks. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Address Configuration, Wireless Network, Tree, ZigBee, IEEE 802.15.4
28Weiwei Fang, Yi Liu 0013, Depei Qian EDDS: An Efficient Data Delivery Scheme for Address-Free Wireless Sensor Networks. Search on Bibsonomy ICN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Address-free, Data delivery scheme, Wireless Sensor Network
28Leyi Shi, Chunfu Jia, Shuwang Lü, Zhenhua Liu Port and Address Hopping for Active Cyber-Defense. Search on Bibsonomy PAISI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Port and address hopping, Active cyber-defense, Denial of Service, Eavesdropping
28Erhan J. Kartaltepe, T. Paul Parker, Shouhuai Xu How to Secure Your Email Address Book and Beyond. Search on Bibsonomy CANS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF self-spreading malicious emails, self-replicating malicious emails, email address book, encryption
28Ji Zhang, David A. J. Pearce Proactive care-of address test for route optimization in FMIPv6. Search on Bibsonomy WMASH The full citation details ... 2005 DBLP  DOI  BibTeX  RDF care-of address, return routability test, handover, mobile IPv6, route optimization
28Steven Robbins An address translation simulator. Search on Bibsonomy SIGCSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF operating systems, virtual memory, address translation
28Xiaotong Zhuang, Tao Zhang 0037, Santosh Pande HIDE: an infrastructure for efficiently protecting information leakage on the address bus. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF address bus leakage protection, secure processor
28Kouichi Itoh, Tetsuya Izu, Masahiko Takenaka A Practical Countermeasure against Address-Bit Differential Power Analysis. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF address-bit DPA, RSA, ECC, Differential Power Analysis (DPA), exponentiation, countermeasure
28Curt Schurgers, Gautam Kulkarni, Mani B. Srivastava Distributed On-Demand Address Assignment in Wireless Sensor Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dynamic address assignment, Sensor networks, distributed algorithm
28Venkatachary Srinivasan, George Varghese Fast Address Lookups Using Controlled Prefix Expansion. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Internet address lookup, binary search on levels, expanded tries, multibit tries, router preformance, longest-prefix match, controlled prefix expansion
28N. Oguchi, Y.-M. Chen, J. Ogawa, T. Tsuruoka, Tomohiko Taniguchi, S. Nojima RISP: Address Resolution Protocol in Network Layer. Search on Bibsonomy LCN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Address Resolution Protocol, NBMA, Shortcut Routing, RISP, ATM, IP
28Rainer Leupers, Peter Marwedel Algorithms for address assignment in DSP code generation. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF code generation, address generation
28Mei Wang, Larry Dunn, Wei Mao, Tao Chen Reduce IP Address Fragmentation through Allocation. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Tinghui Xu, Jie Wu 0001 Quorum Based IP Address Autoconfiguration in Mobile Ad Hoc Networks. Search on Bibsonomy ICDCS Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF IP Autoconfiguration, quorum voting, scalability, mobile ad-hoc networks (MANETs)
28Bernhard Wess, Thomas Zeitlhofer On the Phase Coupling Problem Between Data Memory Layout Generation and Address Pointer Assignment. Search on Bibsonomy SCOPES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Toyohide Watanabe, Takamasa Shimasaki Estimation of Home-Address Numbers on the Basis of Relationships and Directions among House Blocks. Search on Bibsonomy ICDAR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Saeid Asadi, Guowei Yang, Xiaofang Zhou 0001, Yuan Shi, Boxuan Zhai, Wendy Wen-Rong Jiang Pattern-Based Extraction of Addresses from Web Page Content. Search on Bibsonomy APWeb The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Address Extraction, Web page Analysis, Address Itemization
28Swapan Kumar Ray, Sabyasachi Dutta, Abhik Kumar Saha A Low-Cost Pipelineed Multi-Lingual E-Dictionary Using a Pipelined CTAM. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Address-To-Content Memory (ATCM), Content-To-Address Memory (CTAM), Pipelined CTAM (PCTAM), Low-Cost PCTAM, Content Addresseble Memory (CAM), Assosiative Memory (AM), Pipelined AM (PAM), Multi-Lingual E-Dictionary (MLeD), Pipelined MLeD (PMLeD), Universal Dictionary Server (UDS)
28Gerhard Jakisch E-Signature versus E-Identity the Creation of the Digital Citizen. Search on Bibsonomy DEXA Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF e-Signature, e-Identity, digital citizen, e-address, email address, technical solution, administrative requirements, administrative processes, advanced electronic signature, e-commerce, e-Government, government data processing, holistic approach, public authorities
28Andreas Moshovos, Gurindar S. Sohi Streamlining Inter-Operation Memory Communication via Data Dependence Prediction. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF DEF-store-load-USE chains, address disambiguation, data cache access, data cache bandwidth requirements, data dependence prediction, inter-operation memory communication, memory dependences, memory hierarchy design, transient value cache, storage management, memory architecture, communication latency, instruction window, address calculation
28Khawar M. Zuberi, Kang G. Shin EMERALDS: a microkernel for embedded real-time systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF EMERALDS, real time microkernel, small to medium size embedded systems, standard OS services, multi threaded processes, kernel size, user level address space, user level server, full memory protection, communication protocol stacks, user level servers, uniprocessor version, Motorola 68040 processor, protected address spaces, 13 KByte, scheduling, real-time systems, message passing, message passing, device drivers, operating system kernels, system calls, embedded real time systems, microkernel, context switch, semaphores
26Kai Chen 0005, Chuanxiong Guo, Haitao Wu, Jing Yuan, Zhenqian Feng, Yan Chen 0004, Songwu Lu, Wenfei Wu Generic and automatic address configuration for data center networks. Search on Bibsonomy SIGCOMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF address configuration, graph isomorphism, data center networks
26Carlo Galuzzi, Chunyang Gou, Humberto Calderon, Georgi Gaydadjiev, Stamatis Vassiliadis High-bandwidth Address Generation Unit. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Address generation unit, Stride, Parallel memory
26Oreste Villa, Sriram Krishnamoorthy, Jarek Nieplocha, David M. Brown Jr. Scalable transparent checkpoint-restart of global address space applications on virtual machines over infiniband. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint-restart, virtual machines, infiniband, global address space
26Yi-Ying Tsai, Chia-Jung Hsu, Chung-Ho Chen Power-efficient and scalable load/store queue design via address compression. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF address compression, load-store queue, scalable design, power-efficient
26Ryousei Takano, Motohiko Matsuda, Tomohiro Kudoh, Yuetsu Kodama, Fumihiro Okazaki, Yutaka Ishikawa, Yasufumi Yoshizawa High Performance Relay Mechanism for MPI Communication Libraries Run on Multiple Private IP Address Clusters. Search on Bibsonomy CCGRID The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IMPI, Private IP address clusters, Grid computing, MPI
26Kyunghye Lee, Miyoung Kim, Gye-Young Kim, Youngsong Mun Supporting Address Autoconfiguration for IPv6 in IEEE 802.16e Based Network. Search on Bibsonomy ICCSA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IPv6, IEEE 802.16e, Neighbor Discovery, Address autoconfiguration
26Dirk Ahlers, Susanne Boll Retrieving address-based locations from the web. Search on Bibsonomy GIR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF address extraction, geographic web information retrieval, location-based web search, local search, location, spatial search
26Sang-Chul Kim Message Complexity Analysis of MANET Address Autoconfiguration Algorithms in Group Merging Case. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Group Merge, Mobile Ad hoc Networks, Message Complexity, Address Autoconfiguration
Displaying result #1 - #100 of 26610 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license