|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4003 occurrences of 2151 keywords
|
|
|
Results
Found 9496 publication records. Showing 9496 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
70 | Thomas Eiter, Michael Fink 0001 |
Uniform Equivalence of Logic Programs under the Stable Model Semantics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICLP ![In: Logic Programming, 19th International Conference, ICLP 2003, Mumbai, India, December 9-13, 2003, Proceedings, pp. 224-238, 2003, Springer, 3-540-20642-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
uniform equivalence, strong equivalence, computational complexity, program optimization, stable models, answer set semantics |
60 | In-Ho Moon |
Compositional verification of retiming and sequential optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 131-136, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
conditional equivalence, retime offset, sequential equivalence, retiming, compositional verification |
58 | Limin Jia 0001, Jianzhou Zhao, Vilhelm Sjöberg, Stephanie Weirich |
Dependent types and program equivalence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Proceedings of the 37th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, POPL 2010, Madrid, Spain, January 17-23, 2010, pp. 275-286, 2010, ACM, 978-1-60558-479-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
dependent types, program equivalence |
57 | M. P. Reddy, Bandreddi E. Prasad, P. G. Reddy, Amar Gupta |
A Methodology for Integration of Heterogeneous Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 6(6), pp. 920-933, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
heterogeneous database integration methodology, local databases, diverse global application needs, total schema integration, virtual integration, 4-layered schema architecture, local schemata, local object schemata, global schema, global view schemata, object equivalence classes, property equivalence classes, logical integration, heterogeneous schemata, object instance equivalence classes, property instance equivalence classes, naming conflicts, scaling conflicts, type conflicts, abstraction level conflicts, data inconsistencies, data integration, database management systems, equivalence classes, naming services |
56 | Chiaki Sakama, Katsumi Inoue |
Inductive Equivalence of Logic Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ILP ![In: Inductive Logic Programming, 15th International Conference, ILP 2005, Bonn, Germany, August 10-13, 2005, Proceedings, pp. 312-329, 2005, Springer, 3-540-28177-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
56 | Ronald Fagin, Phokion G. Kolaitis, Alan Nash, Lucian Popa 0001 |
Towards a theory of schema-mapping optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Twenty-Seventh ACM SIGMOD-SIGACT-SIGART Symposium on Principles of Database Systems, PODS 2008, June 9-11, 2008, Vancouver, BC, Canada, pp. 33-42, 2008, ACM, 978-1-60558-108-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
conjunctive-query equivalence, data-exchange equivalence, data integration, data exchange, schema mapping |
53 | Christopher A. Stone, Robert Harper 0001 |
Extensional equivalence and singleton types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Log. ![In: ACM Trans. Comput. Log. 7(4), pp. 676-722, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
equivalence algorithms, logical relations, Singleton types |
53 | Matthias Berth, Günter Czichowski |
Using Invariants to Solve the Equivalence Problem for Ordinary Differential Equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Algebra Eng. Commun. Comput. ![In: Appl. Algebra Eng. Commun. Comput. 11(5), pp. 359-376, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Equivalence problem for differential equations, Emden-Fowler equations, Differential invariants |
52 | Katsumi Inoue, Chiaki Sakama |
Equivalence of Logic Programs Under Updates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JELIA ![In: Logics in Artificial Intelligence, 9th European Conference, JELIA 2004, Lisbon, Portugal, September 27-30, 2004, Proceedings, pp. 174-186, 2004, Springer, 3-540-23242-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
51 | Hans Kleine Büning, Xishun Zhao |
Equivalence Models for Quantified Boolean Formulas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT (Selected Papers ![In: Theory and Applications of Satisfiability Testing, 7th International Conference, SAT 2004, Vancouver, BC, Canada, May 10-13, 2004, Revised Selected Papers, pp. 224-234, 2004, Springer, 3-540-27829-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
equivalence model, model checking, complexity, satisfiability, equivalence, Quantified Boolean formula |
49 | Michael Fink 0001 |
Equivalences in Answer-Set Programming by Countermodels in the Logic of Here-and-There. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICLP ![In: Logic Programming, 24th International Conference, ICLP 2008, Udine, Italy, December 9-13 2008, Proceedings, pp. 99-113, 2008, Springer, 978-3-540-89981-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
uniform equivalence, knowledge representation, program optimization, answer-set programming |
49 | Rob J. van Glabbeek, W. P. Weijland |
Branching Time and Abstraction in Bisimulation Semantics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 43(3), pp. 555-600, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
process algebra semantic equivalence, concurrency, abstraction, bisimulation, action refinement, branching time |
49 | Martin Hesketh, Maciej Koutny |
An Axiomatisation of Duplication Equivalence in the Petri Box Calculus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICATPN ![In: Application and Theory of Petri Nets 1998, 19th International Conference, ICATPN '98, Lisbon, Portugal, June 22-26, 1998, Proceedings, pp. 165-184, 1998, Springer, 3-540-64677-9. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Net-based algebra, analysis of structure of nets, verification using nets, equivalence and axiomatisation |
49 | B. Gammatie |
Safe Implementation Equivalence for Asynchronous Nondeterministic Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MFCS ![In: Mathematical Foundations of Computer Science 1986, Bratislava, Czechoslovakia, August 25-29, 1996, Proceedings, pp. 360-369, 1986, Springer, 3-540-16783-8. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
Key-words process behaviour, equivalence and congruence relations for processes, process interface, order relations for process action set, nondeterminism, divergence |
47 | Chi-Chang Jou, Scott A. Smolka |
Equivalences, Congruences, and Complete Axiomatizations for Probabilistic Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONCUR ![In: CONCUR '90, Theories of Concurrency: Unification and Extension, Amsterdam, The Netherlands, August 27-30, 1990, Proceedings, pp. 367-383, 1990, Springer, 3-540-53048-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
47 | Radu Mateescu 0001, Emilie Oudot |
Improved On-the-Fly Equivalence Checking Using Boolean Equation Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPIN ![In: Model Checking Software, 15th International SPIN Workshop, Los Angeles, CA, USA, August 10-12, 2008, Proceedings, pp. 196-213, 2008, Springer, 978-3-540-85113-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
47 | Stefan Woltran |
Characterizations for Relativized Notions of Equivalence in Answer Set Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JELIA ![In: Logics in Artificial Intelligence, 9th European Conference, JELIA 2004, Lisbon, Portugal, September 27-30, 2004, Proceedings, pp. 161-173, 2004, Springer, 3-540-23242-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
47 | J. Howard Johnson |
Rational Equivalence Relations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALP ![In: Automata, Languages and Programming, 13th International Colloquium, ICALP86, Rennes, France, July 15-19, 1986, Proceedings, pp. 167-176, 1986, Springer, 3-540-16761-7. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
44 | Sara Cohen, Werner Nutt, Yehoshua Sagiv |
Deciding equivalences among conjunctive aggregate queries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 54(2), pp. 5, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
bag-set semantics, Aggregation, Datalog, query equivalence |
44 | Fritz Henglein |
Optimizing relational algebra operations using generic equivalence discriminators and lazy products. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PEPM ![In: Proceedings of the 2010 ACM SIGPLAN Workshop on Partial Evaluation and Program Manipulation, PEPM 2010, Madrid, Spain, January 18-19, 2010, pp. 73-82, 2010, ACM, 978-1-60558-727-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cross-product, disriminator, optimization, optimize, optimizing, evaluation, generic, query, product, algebra, formal, relational, equivalence, join, discrimination, symbolic, lazy |
44 | David DeHaan |
Equivalence of nested queries with mixed semantics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Twenty-Eigth ACM SIGMOD-SIGACT-SIGART Symposium on Principles of Database Systems, PODS 2009, June 19 - July 1, 2009, Providence, Rhode Island, USA, pp. 207-216, 2009, ACM, 978-1-60558-553-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bag-set semantics, normalized bags, set semantics, aggregation, conjunctive queries, query equivalence |
44 | Douglas A. Cenzer, Valentina S. Harizanov, Jeffrey B. Remmel |
S01 and P01 Equivalence Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CiE ![In: Mathematical Theory and Computational Practice, 5th Conference on Computability in Europe, CiE 2009, Heidelberg, Germany, July 19-24, 2009. Proceedings, pp. 99-108, 2009, Springer, 978-3-642-03072-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
equivalence structures, effective categoricity, computability theory, computable model theory |
44 | Luca Breveglieri, Alessandra Cherubini, Marco Macchetti |
On the Generalized Linear Equivalence of Functions Over Finite Fields. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIACRYPT ![In: Advances in Cryptology - ASIACRYPT 2004, 10th International Conference on the Theory and Application of Cryptology and Information Security, Jeju Island, Korea, December 5-9, 2004, Proceedings, pp. 79-91, 2004, Springer, 3-540-23975-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
linear equivalence, APN functions, Boolean functions, differential cryptanalysis, S-boxes, linear cryptanalysis |
44 | Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee |
Efficient equivalence checking of multi-phase designs using phase abstraction and retiming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 3(4), pp. 600-625, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
binary decision, encoding density, multi-phase FSM, product machine, sequential hardware equivalence, diagram, steady states |
42 | Thomas A. Henzinger, Peter W. Kopke |
State Equivalences for Rectangular Hybrid Automata. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONCUR ![In: CONCUR '96, Concurrency Theory, 7th International Conference, Pisa, Italy, August 26-29, 1996, Proceedings, pp. 530-545, 1996, Springer, 3-540-61604-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
42 | Hiroaki Yoshida, Masahiro Fujita |
Improving the accuracy of rule-based equivalence checking of system-level design descriptions by identifying potential internal equivalences. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 366-370, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
42 | In-Ho Moon, Per Bjesse, Carl Pixley |
A compositional approach to the combination of combinational and sequential equivalence checking of circuits without known reset states. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1170-1175, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
42 | Francesco Ranzato, Francesco Tapparo |
A New Efficient Simulation Equivalence Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LICS ![In: 22nd IEEE Symposium on Logic in Computer Science (LICS 2007), 10-12 July 2007, Wroclaw, Poland, Proceedings, pp. 171-180, 2007, IEEE Computer Society, 0-7695-2908-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
42 | Ka-Shu Wong |
A Stronger Notion of Equivalence for Logic Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICLP ![In: Logic Programming, 23rd International Conference, ICLP 2007, Porto, Portugal, September 8-13, 2007, Proceedings, pp. 453-454, 2007, Springer, 978-3-540-74608-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
42 | Li Tan |
An Abstract Schema for Equivalence-Checking Games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VMCAI ![In: Verification, Model Checking, and Abstract Interpretation, Third International Workshop, VMCAI 2002, Venice, Italy, January 21-22, 2002, Revised Papers, pp. 65-78, 2002, Springer, 3-540-43631-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
42 | Patrick Lincoln, John C. Mitchell, Mark Mitchell, Andre Scedrov |
Probabilistic Polynomial-Time Equivalence and Security Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
World Congress on Formal Methods ![In: FM'99 - Formal Methods, World Congress on Formal Methods in the Development of Computing Systems, Toulouse, France, September 20-24, 1999, Proceedings, Volume I, pp. 776-793, 1999, Springer, 3-540-66587-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
42 | Peter Buchholz 0001 |
A Notion of Equivalence for Stochastic Petri Nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Application and Theory of Petri Nets ![In: Application and Theory of Petri Nets 1995, 16th International Conference, Turin, Italy, June 26-30, 1995, Proceedings, pp. 161-180, 1995, Springer, 3-540-60029-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
42 | Lucia Pomello |
Some equivalence notions for concurrent systems. An overview. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Applications and Theory in Petri Nets ![In: Advances in Petri Nets 1985, covers the 6th European Workshop on Applications and Theory in Petri Nets, Espoo, Finland in June 1985, selected papers, pp. 381-400, 1985, Springer, 3-540-16480-4. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
42 | Stephen D. Brookes, William C. Rounds |
Behavioural Equivalence Relations Induced by Programming Logics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALP ![In: Automata, Languages and Programming, 10th Colloquium, Barcelona, Spain, July 18-22, 1983, Proceedings, pp. 97-108, 1983, Springer, 3-540-12317-2. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
42 | Fiorella de Cindio, Giorgio De Michelis, Lucia Pomello, Carla Simone |
A State Transformation Equivalence for Concurrent Systems: Exhibited Functionality-equivalence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurrency ![In: Concurrency 88: International Conference on Concurrency, Hamburg, FRG, October 18-19, 1988, Proceedings, pp. 222-236, 1988, Springer, 3-540-50403-6. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
42 | Helmut Thiele |
On the Mutual Definability of Fuzzy Tolerance Relations and Fuzzy Tolerance Coverings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 25th IEEE International Symposium on Multiple-Valued Logic, ISMVL 1995, Bloomington, Indiana, USA, May 23-25, 1995, Proceedings, pp. 140-145, 1995, IEEE Computer Society, 0-8186-7118-1. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
tolerance analysis, mutual definability, fuzzy tolerance relations, fuzzy tolerance coverings, systems of sets, fixed universe, crisp set theory, bijections, fuzzy equivalence relations, crisp tolerance relations, crisp coverings, pattern recognition, cluster analysis, symmetry, fuzzy clusters, fuzzy set theory, equivalence classes, similarity relations, universal algebras, binary relations, reflexivity, fuzzy partitions, lattice isomorphisms |
41 | Kathy J. Horadam |
EA and CCZ Equivalence of Functions over GF(2n). ![Search on Bibsonomy](Pics/bibsonomy.png) |
WAIFI ![In: Arithmetic of Finite Fields, 2nd International Workshop, WAIFI 2008, Siena, Italy, July 6-9, 2008, Proceedings, pp. 134-143, 2008, Springer, 978-3-540-69498-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
CCZ-equivalence, EA-equivalence, APN function, bundle |
41 | Pranav Ashar, Aarti Gupta, Sharad Malik |
Using complete-1-distinguishability for FSM equivalence checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 6(4), pp. 569-590, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Bisimulation relation, complete-1-distinguishability, finite state machine equivalence, sequential logic synthesis, equivalence checking |
41 | N. Schmechel |
On Lattice-Isomorphism Between Fuzzy Equivalence Relations and Fuzzy Partitions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 25th IEEE International Symposium on Multiple-Valued Logic, ISMVL 1995, Bloomington, Indiana, USA, May 23-25, 1995, Proceedings, pp. 146-151, 1995, IEEE Computer Society, 0-8186-7118-1. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
fuzzy equivalence relations, bijection, pattern recognition, cluster analysis, fuzzy set theory, partial orderings, equivalence classes, lattice theory, fuzzy partitions, lattice-isomorphism, lattice isomorphism |
40 | Michinori Nakata, Hiroshi Sakai |
Applying Rough Sets to Information Tables Containing Possibilistic Values. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. Comput. Sci. ![In: Transactions on Computational Science II, pp. 180-204, 2008, Springer, 978-3-540-87562-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Imprecise value, Weighted equivalence class, Rough sets, Missing value, Lower and upper approximations, Possibility distribution |
40 | Helle Hvid Hansen, Clemens Kupke, Eric Pacuit |
Bisimulation for Neighbourhood Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CALCO ![In: Algebra and Coalgebra in Computer Science, Second International Conference, CALCO 2007, Bergen, Norway, August 20-24, 2007, Proceedings, pp. 279-293, 2007, Springer, 978-3-540-73857-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Neighbourhood semantics, non-normal modal logic, behavioural equivalence, invariance, bisimulation |
40 | Sara Cohen, Yehoshua Sagiv, Werner Nutt |
Equivalences among aggregate queries with negation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Log. ![In: ACM Trans. Comput. Log. 6(2), pp. 328-360, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Aggregation, Datalog, negation, query equivalence |
39 | Sara Cohen |
Equivalence of queries that are sensitive to multiplicities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 18(3), pp. 765-785, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Bag semantics, Set semantics, Combined semantics, Datalog, Query equivalence |
39 | Rada Chirkova, Michael R. Genesereth |
Equivalence of SQL queries in presence of embedded dependencies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Twenty-Eigth ACM SIGMOD-SIGACT-SIGART Symposium on Principles of Database Systems, PODS 2009, June 19 - July 1, 2009, Providence, Rhode Island, USA, pp. 217-226, 2009, ACM, 978-1-60558-553-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
embedded dependencies, conjunctive queries, query equivalence |
39 | Pankaj Chauhan, Deepak Goyal, Gagan Hasteer, Anmol Mathur, Nikhil Sharma |
Non-cycle-accurate sequential equivalence checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 460-465, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
unit product machine, model checking, formal verification, high level synthesis, sequential equivalence checking |
39 | Bijan Alizadeh, Masahiro Fujita |
Automatic Merge-Point Detection for Sequential Equivalence Checking of System-Level and RTL Descriptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATVA ![In: Automated Technology for Verification and Analysis, 5th International Symposium, ATVA 2007, Tokyo, Japan, October 22-25, 2007, Proceedings, pp. 129-144, 2007, Springer, 978-3-540-75595-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Formal Verification, System on a Chip (SoC), Communication System, Canonical Representation, Sequential Equivalence Checking |
39 | Jinyan Li 0001, Guimei Liu, Limsoon Wong |
Mining statistically important equivalence classes and delta-discriminative emerging patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the 13th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, San Jose, California, USA, August 12-15, 2007, pp. 430-439, 2007, ACM, 978-1-59593-609-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
itemsets with ranked statistical merit, equivalence classes |
39 | Rona Machlin |
Index-based multidimensional array queries: safety and equivalence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Twenty-Sixth ACM SIGACT-SIGMOD-SIGART Symposium on Principles of Database Systems, June 11-13, 2007, Beijing, China, pp. 175-184, 2007, ACM, 978-1-59593-685-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
array query languages, integer linear constraints, aggregation, multidimensional data, scientific data, query equivalence |
39 | Leonor Becerra-Bonache, Adrian-Horia Dediu, Cristina Tîrnauca |
Learning DFA from Correction and Equivalence Queries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICGI ![In: Grammatical Inference: Algorithms and Applications, 8th International Colloquium, ICGI 2006, Tokyo, Japan, September 20-22, 2006, Proceedings, pp. 281-292, 2006, Springer, 3-540-45264-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
learning DFA, Active learning, membership query, equivalence query, correction query |
39 | Wil M. P. van der Aalst, Ana Karla A. de Medeiros, A. J. M. M. Weijters |
Process Equivalence: Comparing Two Process Models Based on Observed Behavior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Business Process Management ![In: Business Process Management, 4th International Conference, BPM 2006, Vienna, Austria, September 5-7, 2006, Proceedings, pp. 129-144, 2006, Springer, 3-540-38901-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Process Equivalence, Petri Nets, Process Mining |
39 | Sara Cohen |
Equivalence of queries combining set and bag-set semantics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Twenty-Fifth ACM SIGACT-SIGMOD-SIGART Symposium on Principles of Database Systems, June 26-28, 2006, Chicago, Illinois, USA, pp. 70-79, 2006, ACM, 1-59593-318-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
bag semantics, combined semantics, set semantics, Datalog, query equivalence |
39 | José M. Sempere |
A Note on the Equivalence and Complexity of Linear Grammars. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Grammars ![In: Grammars 6(2), pp. 115-126, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
linear grammars, equivalence properties, reversal complexity, formal languages, Kolmogorov complexity |
39 | Luca Durante, Riccardo Sisto, Adriano Valenzano |
Automatic testing equivalence verification of spi calculus specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Softw. Eng. Methodol. ![In: ACM Trans. Softw. Eng. Methodol. 12(2), pp. 222-284, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
equivalence verification, Cryptographic protocols, state space exploration |
39 | Hee-Hwan Kwak, In-Ho Moon, James H. Kukula, Thomas R. Shiple |
Combinational equivalence checking through function transformation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 526-533, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
combinational verification, equivalence checking |
39 | Debabrata Ghosh, Nevin Kapur, Franc Brglez, Justin E. Harlow III |
Synthesis of Wiring Signature-Invariant Equivalence Class Circuit Mutants and Applications to Benchmarking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France, pp. 656-663, 1998, IEEE Computer Society, 0-8186-8359-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
signature-invariance, circuit mutants, benchmarking, equivalence class |
38 | Sumit Nain, Moshe Y. Vardi |
Branching vs. Linear Time: Semantical Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATVA ![In: Automated Technology for Verification and Analysis, 5th International Symposium, ATVA 2007, Tokyo, Japan, October 22-25, 2007, Proceedings, pp. 19-34, 2007, Springer, 978-3-540-75595-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
38 | Tuan-Fang Fan, Churn-Jung Liau, Tsau Young Lin |
Positional Analysis in Fuzzy Social Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GrC ![In: 2007 IEEE International Conference on Granular Computing, GrC 2007, San Jose, California, USA, 2-4 November 2007, pp. 423-428, 2007, IEEE Computer Society, 0-7695-3032-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
37 | Stefanie Rinderle-Ma, Manfred Reichert, Martin Jurisch |
Equivalence of Web Services in Process-Aware Service Compositions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: IEEE International Conference on Web Services, ICWS 2009, Los Angeles, CA, USA, 6-10 July 2009, pp. 501-508, 2009, IEEE Computer Society, 978-0-7695-3709-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
37 | Radu Mateescu 0001, Emilie Oudot |
Bisimulator 2.0: An On-the-Fly Equivalence Checker based on Boolean Equation Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMOCODE ![In: 6th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2008), June 5-7, 2008, Anaheim, CA, USA, pp. 73-74, 2008, IEEE Computer Society, 978-1-4244-2417-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
37 | Eldar Fischer, Frédéric Magniez, Michel de Rougemont |
Approximate Satisfiability and Equivalence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LICS ![In: 21th IEEE Symposium on Logic in Computer Science (LICS 2006), 12-15 August 2006, Seattle, WA, USA, Proceedings, pp. 421-430, 2006, IEEE Computer Society, 0-7695-2631-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Takeshi Matsumoto, Hiroshi Saito, Masahiro Fujita |
Equivalence Checking of C Programs by Locally Performing Symbolic Simulation on Dependence Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 370-375, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Donglin Liang, Mary Jean Harrold |
Equivalence analysis and its application in improving the efficiency of program slicing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Softw. Eng. Methodol. ![In: ACM Trans. Softw. Eng. Methodol. 11(3), pp. 347-383, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
program slicing, data-flow analysis, Alias analysis |
37 | Narayanan Krishnamurthy, Jayanta Bhadra, Magdy S. Abadir, Jacob A. Abraham |
Is State Mapping Essential for Equivalence Checking Custom Memories in Scan-Based Designs? ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, USA, pp. 275-280, 2002, IEEE Computer Society, 0-7695-1570-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
37 | Isabelle Fagnot |
On the Subword Equivalence Problem for Infinite Words. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STACS ![In: STACS 95, 12th Annual Symposium on Theoretical Aspects of Computer Science, Munich, Germany, March 2-4, 1995, Proceedings, pp. 107-118, 1995, Springer, 3-540-59042-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
37 | Carl Pixley |
Introduction to a Computational Theory and Implementation of Sequential Hardware Equivalence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 2nd International Workshop, CAV '90, New Brunswick, NJ, USA, June 18-21, 1990, Proceedings, pp. 54-64, 1990, Springer, 3-540-54477-1. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
37 | Lili Wu, Yongli Li, He Lin |
Generalized rough equivalence and generalized rough inclusion based on equivalence operator of pansystems of rough sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GrC ![In: 2005 IEEE International Conference on Granular Computing, Beijing, China, July 25-27, 2005, pp. 679-682, 2005, IEEE, 0-7803-9017-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
37 | Thuy Duong Vu |
Deciding orthogonal bisimulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Aspects Comput. ![In: Formal Aspects Comput. 19(4), pp. 475-485, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Orthogonal bisimulation equivalence, Branching bisimulation equivalence, Silent step, Labeled transition system, Concurrency theory |
37 | Kuo-Hua Wang, Jia-Hung Chen |
Symmetry detection for incompletely specified functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 434-437, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
equivalence symmetry, non-equivalence symmetry |
37 | Dominik Stoffel, Wolfgang Kunz |
Record & play: a structural fixed point iteration for sequential circuit verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 394-399, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
circuit resynthesis, circuit retiming, combinational verification techniques, instruction queue, iterative circuit array, local circuit transformation, sequential circuit verification, sequential logic equivalence checking, structural fixed point iteration, time frame equivalence, logic testing, finite state machine, logic design |
37 | Eugene Goldberg |
On equivalence checking and logic synthesis of circuits with a common specification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, Chicago, Illinois, USA, April 17-19, 2005, pp. 102-107, 2005, ACM, 1-59593-057-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
common specification, scalable equivalence checking, scalable logic synthesis, toggle equivalence |
37 | Saharon Shelah |
On nice equivalence relations on ?2. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Arch. Math. Log. ![In: Arch. Math. Log. 43(1), pp. 31-64, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Definable equivalence relation, Generalized descriptive set theory to uncountable cardinals, Perfect sets of pairwise non equivalence, Ext, Set theory, Abelian group |
37 | Byung-Ho Park, Shigetomo Kimura, Eun-Seok Lee 0001, Norio Shiratori |
An equivalence algorithm to point out errors for basic LOTOS in a distributed system environment and its prototype. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 1997 International Conference on Parallel and Distributed Systems (ICPADS '97), 11-13 December 1997, Seoul, Korea, Proceedings, pp. 230-235, 1997, IEEE Computer Society, 0-8186-8227-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
equivalence algorithm, OSI protocol layers, equivalence relation verification, educational support system, distributed system, specification, prototype, specification languages, error detection, error correction, mathematical model, LOTOS, formal description technique |
37 | Pranav Ashar, Aarti Gupta, Sharad Malik |
Using complete-1-distinguishability for FSM equivalence checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 346-353, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
sequential logic synthesis and verification, finite state machine equivalence, bisimulation relation, 1-distinguishability, 1-equivalence, formal verification |
37 | James A. Larson, Shamkant B. Navathe, Ramez Elmasri |
A Theory of Attribute Equivalence in Databases with Application to Schema Integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(4), pp. 449-463, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
attributed equivalence, entity sets, relationship sets, attribute integration, database theory, schema integration, equivalence classes |
35 | Tathagato Rai Dastidar, P. P. Chakrabarti 0001 |
A verification system for transient response of analog circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 12(3), pp. 31:1-31:39, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Ana CTL, model checking, query language, Analog circuits, equivalence checking, transient response |
35 | Michinori Nakata, Hiroshi Sakai |
Lower and Upper Approximations in Data Tables Containing Possibilistic Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. Rough Sets ![In: Trans. Rough Sets 7, pp. 170-189, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Imprecise value, Correctness criterion, Weighted equivalence class, Rough sets, Lower and upper approximations |
35 | Michinori Nakata, Hiroshi Sakai |
Applying Rough Sets to Information Tables Containing Probabilistic Values. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MDAI ![In: Modeling Decisions for Artificial Intelligence, 4th International Conference, MDAI 2007, Kitakyushu, Japan, August 16-18, 2007, Proceedings, pp. 282-294, 2007, Springer, 978-3-540-73728-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Probabilistic value, Weighted equivalence class, Rough sets, Lower and upper approximations, Imprecise information |
35 | Liao Zekai, Shu Lan |
Minimization of Lattice Automata. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFIE ![In: Fuzzy Information and Engineering, Proceedings of the Second International Conference of Fuzzy Information and Engineering, ICFIE 2007, May 13-16, 2007, Guangzhou, China, pp. 194-205, 2007, Springer, 978-3-540-71440-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Lattice Automata, Refining Equivalence, Refining Congruence, Quotient Lattice Automata, Minimization |
35 | Sara Cohen, Yaacov Y. Weiss |
Bag equivalence of XPath queries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDT ![In: Database Theory - ICDT 2010, 13th International Conference, Lausanne, Switzerland, March 23-25, 2010, Proceedings, pp. 116-128, 2010, ACM, 978-1-60558-947-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
bag semantics, multiset semantics, XPath, query equivalence |
35 | Bishop Brock, Matt Kaufmann, J Strother Moore |
Rewriting with Equivalence Relations in ACL2. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Autom. Reason. ![In: J. Autom. Reason. 40(4), pp. 293-306, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Refinement, Rewriting, Congruence, Equivalence relations |
35 | Michael Domaratzki |
Equivalence in template-guided recombination. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Nat. Comput. ![In: Nat. Comput. 7(3), pp. 439-449, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Template-guided recombination, DNA recombination, Equivalence, Ciliates |
35 | José Antonio Martín H., Matilde Santos Peñas, Andrés García, Javier de Lope Asiaín |
A Computational Model of the Equivalence Class Formation Psychological Phenomenon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Innovations in Hybrid Intelligent Systems ![In: Innovations in Hybrid Intelligent Systems, pp. 104-111, 2008, Springer, 978-3-540-74971-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Neuro-Computing, Reinforcement Learning, Self-Organization, Equivalence classes, behavioral simulation |
35 | Masahiro Miyakawa, Maurice Pouzet, Ivo G. Rosenberg, Hisayuki Tatsumi |
Semirigid Equivalence Relations on a Finite Set. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 38th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2008), 22-23 May 2008, Dallas, Texas, USA, pp. 118-123, 2008, IEEE Computer Society, 978-0-7695-3155-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
semirigid, lattice, clone, universal algebra, equivalence relation |
35 | Dan Zhu, Tun Li, Yang Guo 0003, Sikun Li |
2D Decomposition Sequential Equivalence Checking of System Level and RTL Descriptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 637-642, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cutpoints, Program slicing, Sequential equivalence checking |
35 | Pascal Urard, Asma Maalej, Roberto Guizzetti, Nitin Chawla |
Leveraging sequential equivalence checking to enable system-level to RTL flows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 816-821, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
formal verification, high-level synthesis, equivalence checking, system-level models, RTL models |
35 | Stefan Disch, Christoph Scholl 0001 |
Combinational Equivalence Checking Using Incremental SAT Solving, Output Ordering, and Resets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 938-943, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
shared circuit structures, incremental SAT techniques, bounded model checking, combinational equivalence checking |
35 | Shaohui Liu, Hongxun Yao, Wen Gao 0001, Dingguo Yang |
Minimizing the Distortion Spatial Data Hiding Based on Equivalence Class. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (1) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, Third International Conference on Intelligent Computing, ICIC 2007, Qingdao, China, August 21-24, 2007, Proceedings, pp. 667-678, 2007, Springer, 978-3-540-74170-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Data hiding, Equivalence class, LSB |
35 | Lawrence C. Paulson |
Defining functions on equivalence classes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Log. ![In: ACM Trans. Comput. Log. 7(4), pp. 658-675, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
theorem proving, Equivalence classes, quotients |
35 | Gregor Leander, Andy Rupp |
On the Equivalence of RSA and Factoring Regarding Generic Ring Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIACRYPT ![In: Advances in Cryptology - ASIACRYPT 2006, 12th International Conference on the Theory and Application of Cryptology and Information Security, Shanghai, China, December 3-7, 2006, Proceedings, pp. 241-251, 2006, Springer, 3-540-49475-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Computational Equivalence, RSA Problem, Factorization Problem, Generic Algorithms |
35 | Xiushan Feng, Alan J. Hu |
Early cutpoint insertion for high-level software vs. RTL formal combinational equivalence verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 1063-1068, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
cutpoints, formal equivalence checking, software, RTL |
35 | Xiushan Feng, Alan J. Hu |
Cutpoints for formal equivalence verification of embedded software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: EMSOFT 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th ACM International Conference On Embedded Software, Proceedings, pp. 307-316, 2005, ACM, 1-59593-091-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
formal verification, embedded software, equivalence checking |
35 | Mohammad Ali Ghodrat, Tony Givargis, Alexandru Nicolau |
Equivalence checking of arithmetic expressions using fast evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2005 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2005, San Francisco, California, USA, September 24-27, 2005, pp. 147-156, 2005, ACM, 1-59593-149-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
expression equivalence, mutual exclusion, interval analysis |
35 | Kamel Bentahar |
The Equivalence Between the DHP and DLP for Elliptic Curves Used in Practical Applications, Revisited. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMACC ![In: Cryptography and Coding, 10th IMA International Conference, Cirencester, UK, December 19-21, 2005, Proceedings, pp. 376-391, 2005, Springer, 3-540-30276-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
DHP-DLP equivalence, Elliptic Curve Cryptosystems |
35 | Jirí Adámek, Francis Borceux |
Morita Equivalence of Sketches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Categorical Struct. ![In: Appl. Categorical Struct. 8(3), pp. 503-517, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Morita equivalence, sketch |
35 | Vishwani D. Agrawal |
Choice of Tests for Logic Verification and Equivalence Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 13th International Conference on VLSI Design (VLSI Design 2000), 4-7 January 2000, Calcutta, India, pp. 306-311, 2000, IEEE Computer Society, 0-7695-0487-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
fault simulation, Equivalence checking, Hamming codes, logic verification |
35 | Ghassan Chehaibar |
Replacement of Open Interface Subnets and Stable State Transformation Equivalence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Applications and Theory of Petri Nets ![In: Advances in Petri Nets 1993, Papers from the 12th International Conference on Applications and Theory of Petri Nets, Gjern, Denmark, June 1991, pp. 1-25, 1991, Springer, 3-540-56689-9. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
Place-Transition Nets, Hierarchical Design and Analysis, Open Interface, Nets and Systems, Stable State Transformation Equivalence and Preorder, Robust Open Interface Nets, Expansion, Replacement |
33 | Luca de Alfaro, Krishnendu Chatterjee, Marco Faella, Axel Legay |
Qualitative Logics and Equivalences for Probabilistic Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QEST ![In: Fourth International Conference on the Quantitative Evaluaiton of Systems (QEST 2007), 17-19 September 2007, Edinburgh, Scotland, UK, pp. 237-248, 2007, IEEE Computer Society, 0-7695-2883-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | Matt Webster, Grant Malcolm |
Detection of metamorphic computer viruses using algebraic specification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Virol. ![In: J. Comput. Virol. 2(3), pp. 149-161, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
33 | Raja K. K. R. Sandireddy, Vishwani D. Agrawal |
Diagnostic and Detection Fault Collapsing for Multiple Output Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 1014-1019, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
33 | Jürgen Lerner |
Role Assignments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Network Analysis ![In: Network Analysis: Methodological Foundations [outcome of a Dagstuhl seminar, 13-16 April 2004], pp. 216-252, 2004, Springer, 3-540-24979-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 9496 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|