The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplanner with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1998 (17) 1999-2002 (16) 2003-2005 (21) 2006 (17) 2007-2008 (24) 2009-2015 (16) 2016-2024 (9)
Publication types (Num. hits)
article(31) inproceedings(89)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 80 occurrences of 59 keywords

Results
Found 120 publication records. Showing 120 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
103Vinod Narayananan, David LaPotin, Rajesh Gupta 0003, Gopalakrishnan Vijayan PEPPER - a timing driven early floorplanner. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PEPPER, timing driven early floorplanner, chip complexities, early analysis, performance critical CMOS chips, wireability, floorplan optimization process, performance, computational complexity, optimisation, timing, system design, circuit layout CAD, CMOS integrated circuits, static timing analysis, integrated circuit layout, area, interconnect delay
75Ou He, Sheqin Dong, Jinian Bian, Satoshi Goto, Chung-Kuan Cheng A novel fixed-outline floorplanner with zero deadspace for hierarchical design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF floorplanner, soft modules, zero deadspace, fixed-outline
72Love Singhal, Elaheh Bozorgzadeh Novel Multi-Layer floorplanning for Heterogeneous FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
57Benjamin Carrión Schäfer, Taewhan Kim Hotspots Elimination and Temperature Flattening in VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
57Tung-Chieh Chen, Yao-Wen Chang Modern Floorplanning Based on B*-Tree and Fast Simulated Annealing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
57Love Singhal, Elaheh Bozorgzadeh Multi-layer Floorplanning on a Sequence of Reconfigurable Designs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
57Tung-Chieh Chen, Yao-Wen Chang Modern floorplanning based on fast simulated annealing. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulated annealing, floorplanning
56Srinath Sridharan, Michael DeBole, Guangyu Sun 0003, Yuan Xie 0001, Vijaykrishnan Narayanan A criticality-driven microarchitectural three dimensional (3D) floorplanner. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
56Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir LEAF: A System Level Leakage-Aware Floorplanner for SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LEAF, leakage-aware floorplanning, temperature-aware leakage power, dynamic power profile, system on chip, SoC designs
56Chien-Chang Chen, Wai-Kei Mak A multi-technology-process reticle floorplanner and wafer dicing planner for multi-project wafers. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Hailin Jiang, Malgorzata Marek-Sadowska Power-Gating Aware Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Jason Cong, Michail Romesis, Joseph R. Shinnerl Fast floorplanning by look-ahead enabled recursive bipartitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Chuan Lin 0002, Hai Zhou 0001, Chris C. N. Chu A revisit to floorplan optimization by Lagrangian relaxation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplan, Lagrangian relaxation
43Wei-Lun Hung, Greg M. Link, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin Interconnect and Thermal-aware Floorplanning for 3D Microprocessors. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Mongkol Ekpanyapong, Jacob R. Minz, Thaisiri Watewai, Hsien-Hsin S. Lee, Sung Kyu Lim Profile-guided microarchitectural floorplanning for deep submicron processor design. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF microarchitectural planning, computer architecture, floorplanning
42Chiu-Wing Sham, Evangeline F. Y. Young Routability-driven floorplanner with buffer block planning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Chiu-Wing Sham, Evangeline F. Y. Young Routability driven floorplanner with buffer block planning. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Jim E. Crenshaw, Majid Sarrafzadeh, Prithviraj Banerjee, Pradeep Prabhakaran An Incremental Floorplanner. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Fan Mo, Robert K. Brayton A simultaneous bus orientation and bused pin flipping algorithm. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Milenko Drinic, Darko Kirovski, Seapahn Megerian, Miodrag Potkonjak Latency-Guided On-Chip Bus-Network Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Chih-Hung Lee, Chin-Hung Su, Shih-Hsu Huang, Chih-Yuan Lin, Tsai-Ming Hsieh Floorplanning with clock tree estimation. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Zion Cien Shen, Chris C. N. Chu Accurate and efficient flow based congestion estimation in floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Steve T. W. Lai, Evangeline F. Y. Young, Chris C. N. Chu A New and Efficient Congestion Evaluation Model in Floorplanning: Wire Density Control with Twin Binary Trees. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Naveed A. Sherwani Integrated floorplanning with buffer/channel insertion for bus-based microprocessor designs. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF floorplanning, routability, interconnect estimation
29Evangeline F. Y. Young, Martin D. F. Wong, Hannah Honghua Yang Slicing floorplans with range constraint. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28David Cuesta, José L. Risco-Martín, José L. Ayala, José Ignacio Hidalgo Thermal-Aware Floorplanner for 3D IC, including TSVs, Liquid Microchannels and Thermal Domains Optimization. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
28Dima Al Saleh, Yousef Safari, Fahad Rahman Amik, Boris Vaisband P* Admissible Thermal-Aware Matrix Floorplanner for 3D ICs. Search on Bibsonomy SOCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Mohammad Amini, Zhanguang Zhang, Surya Penmetsa, Yingxue Zhang 0001, Jianye Hao, Wulong Liu Generalizable Floorplanner through Corner Block List Representation and Hypergraph Embedding. Search on Bibsonomy KDD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Pengli Ji, Kun He 0001, Zhengli Wang, Yan Jin 0005, Jigang Wu A Quasi-Newton-based Floorplanner for fixed-outline floorplanning. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Bo Hu, Mustafa M. Shihab, Yiorgos Makris, Benjamin Carrión Schäfer, Carl Sechen An Efficient MILP-Based Aging-Aware Floorplanner for Multi-Context Coarse-Grained Runtime Reconfigurable FPGAs. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
28Somnath Roy Choudhury, Sambhu Nath Pradhan DOTFloor-A Diffusion Oriented Time-Improved Floorplanner for Macrocells. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28Abdullah Guler, Niraj K. Jha Hybrid Monolithic 3-D IC Floorplanner. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
28Tuan D. A. Nguyen, Akash Kumar 0001 PRFloor: An Automatic Floorplanner for Partially Reconfigurable FPGA Systems. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
28Sri Harsha Gade, Praveen Kumar, Sujay Deb A Pre-RTL floorplanner tool for automated CMP design space exploration with thermal awareness. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
28David Cuesta, José L. Risco-Martín, José L. Ayala, José Ignacio Hidalgo Thermal-aware floorplanner for 3D IC, including TSVs, liquid microchannels and thermal domains optimization. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
28Wei Guo, Minxuan Zhang, Peng Li, Chaoyun Yao Floorplanner for multi-core micro-processors in 3D ICs with interlayer cooling system. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
28Wei Guo, Minxuan Zhang, Peng Li, Chaoyun Yao, Hongwei Zhou Thermal-Aware Floorplanner for Multi-core 3D ICs with Interlayer Cooling. Search on Bibsonomy NCCET The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
28David Cuesta, José Luis Risco-Martín, José L. Ayala, José Ignacio Hidalgo 3D thermal-aware floorplanner using a MOEA approximation. Search on Bibsonomy Integr. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
28Ignacio Arnaldo, José Luis Risco-Martín, José Luis Ayala, José Ignacio Hidalgo Power profiling-guided floorplanner for 3D multi-processor systems-on-chip. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28David Cuesta, José Luis Risco-Martín, José L. Ayala 3D thermal-aware floorplanner using a MILP approximation. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Ignacio Arnaldo, José L. Risco-Martín, José L. Ayala, José Ignacio Hidalgo Power Profiling-Guided Floorplanner for Thermal Optimization in 3D Multiprocessor Architectures. Search on Bibsonomy PATMOS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28David Cuesta, José L. Risco-Martín, José L. Ayala, David Atienza 3D Thermal-aware floorplanner for many-core single-chip systems. Search on Bibsonomy LATW The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Jackey Z. Yan, Chris Chu DeFer: deferred decision making enabled fixed-outline floorplanner. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF deferred decision making, floorplanning, fixed outline
28Hsun-Cheng Lee, Yao-Wen Chang, Hannah Honghua Yang MBast-Tree: A Multilevel Floorplanner for Large-Scale Building-Module Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Love Singhal, Elaheh Bozorgzadeh Heterogeneous Floorplanner for FPGA. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Kia Bazargan, Samjung Kim, Majid Sarrafzadeh Nostradamus: a floorplanner of uncertain designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Kia Bazargan, Samjung Kim, Majid Sarrafzadeh Nostradamus: a floorplanner of uncertain design. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Henrik Esbensen, Ernest S. Kuh EXPLORER: an interactive floorplanner for design space exploration. Search on Bibsonomy EURO-DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Sadiq M. Sait, Habib Youssef, Shahid K. Tanvir, Muhammad S. T. Benten Timing influenced generell-cell genetic floorplanner. Search on Bibsonomy ASP-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
28Abdelhakim Safir, Baher Haroun, Krishnaiyan Thulasiraman A Floorplanner driven by Structural & Timing Constraints. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
28Suhail Ahmed, T. V. Nagesh, Ramoji Rao, B. Naveen, P. K. Fangaria, K. S. Raghunathan FLOR: A Hierarchical Floorplanner Under Vinyas VCX System - System Overview. Search on Bibsonomy VLSI Design The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
28R. Shanker DEFLAN- A delay estimator for floorplanner. Search on Bibsonomy VLSI Design The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
28Noritake Yonezawa, Nobuyuki Nishiguchi, Atsushi Etani, Fumiaki Tsukuda, Ryuichi Hashishita A VLSI floorplanner based on "balloon" expansion. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
28Masako Murofushi, Masaaki Yamada, Takashi Mitsuhashi FOLM-Planner: A New Floorplanner with a Frame Overlapping Floorplan Model Suitable for SOG (Sea-of-Gates) Type Gate Arrays. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14David Cuesta, José Luis Ayala, José Ignacio Hidalgo, Massimo Poncino, Andrea Acquaviva, Enrico Macii Thermal-aware floorplanning exploration for 3D multi-core architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 3D, floorplanning, MPSoC, temperature
14Bo-Shiun Wu, Tsung-Yi Ho Bus-pin-aware bus-driven floorplanning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bus planning, floorplanning
14Dipanjan Sengupta, Resve A. Saleh Application-Driven Voltage-Island Partitioning for Low-Power System-on-Chip Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Michael B. Healy, Hsien-Hsin S. Lee, Gabriel H. Loh, Sung Kyu Lim Thermal optimization in multi-granularity multi-core floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Jia Wang 0003, Hai Zhou 0001 Exploring adjacency in floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Gregory Lucas, Scott Cromar, Deming Chen FastYield: variation-aware, layout-driven simultaneous binding and module selection for performance yield optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Zaichen Qian, Evangeline F. Y. Young Multi-voltage floorplan design with optimal voltage assignment. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-voltage assignment optimization branch-and-bound
14Tung-Chieh Chen, Yao-Wen Chang, Shyh-Chang Lin A New Multilevel Framework for Large-Scale Interconnect-Driven Floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Jeremy Chan, Sri Parameswaran NoCOUT : NoC topology generation with mixed packet-switched and point-to-point networks. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Love Singhal, Sejong Oh, Eli Bozorgzadeh Statistical power profile correlation for realistic thermal estimation. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Tilen Ma, Evangeline F. Y. Young TCG-based multi-bend bus driven floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Qiang Ma 0002, Evangeline F. Y. Young Network flow-based power optimization under timing constraints in MSV-driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Amir Hossein Gholamipour, Elaheh Bozorgzadeh, Lichun Bao Seamless sequence of software defined radio designs through hardware reconfigurability of FPGAs. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Pradeep Fernando, Srinivas Katkoori An Elitist Non-Dominated Sorting Based Genetic Algorithm for Simultaneous Area and Wirelength Minimization in VLSI Floorplanning. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Dipanjan Sengupta, Resve A. Saleh Application-driven floorplan-aware voltage island design. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic programming, energy, floorplan, voltage island
14Michael B. Healy, Mario Vittes, Mongkol Ekpanyapong, Chinnakrishnan S. Ballapuram, Sung Kyu Lim, Hsien-Hsin S. Lee, Gabriel H. Loh Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Andrew B. Kahng, Ion I. Mandoiu, Xu Xu 0001, Alexander Zelikovsky Enhanced Design Flow and Optimizations for Multiproject Wafers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Vyas Krishnan, Srinivas Katkoori Minimizing wire delays by net-topology aware binding during floorplan- driven high level synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Song Chen 0001, Takeshi Yoshimura A stable fixed-outline floorplanning method. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF floorplanning, sequence pair, fixed-outline
14Pingqiang Zhou, Yuchun Ma, Zhuoyuan Li, Robert P. Dick, Li Shang, Hai Zhou 0001, Xianlong Hong, Qiang Zhou 0001 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Qiang Ma 0002, Evangeline F. Y. Young Voltage island-driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Yici Cai, Bin Liu 0007, Jin Shi, Qiang Zhou 0001, Xianlong Hong Power Delivery Aware Floorplanning for Voltage Island Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Yan Feng, Dinesh P. Mehta Module relocation to obtain feasible constrained floorplans. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Mario R. Casu, Luca Macchiarulo Floorplanning With Wire Pipelining in Adaptive Communication Channels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Michael B. Healy, Mario Vittes, Mongkol Ekpanyapong, Chinnakrishnan S. Ballapuram, Sung Kyu Lim, Hsien-Hsin S. Lee, Gabriel H. Loh Microarchitectural floorplanning under performance and thermal tradeoff. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Ryan Kastner, Wenrui Gong, Xin Hao, Forrest Brewer, Adam Kaplan, Philip Brisk, Majid Sarrafzadeh Layout driven data communication optimization for high level synthesis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Chih-Yang Peng, Wen-Chang Chao, Yao-Wen Chang, Jyh-Herng Wang Simultaneous block and I/O buffer floorplanning for flip-chip design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Krishnan Srinivasan, Karam S. Chatha Layout aware design of mesh based NoC architectures. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network-on-chip, automated design, mesh topology
14Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang Voltage island aware floorplanning for power and timing optimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Vidyasagar Nookala, David J. Lilja, Sachin S. Sapatnekar Temperature-aware floorplanning of microarchitecture blocks with IPC-power dependence modeling and transient analysis. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplanning, microarchitecture, transient analysis
14Tan Yan, Qing Dong, Yasuhiro Takashima, Yoji Kajitani How does partitioning matter for 3D floorplanning? Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF partitioning, floorplanning, 3D IC, wire length
14Jia Wang 0003, Hai Zhou 0001, Ping-Chih Wu Processing Rate Optimization by Sequential System Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Hung-Ming Chen, Li-Da Huang, I-Min Liu, Martin D. F. Wong Simultaneous power supply planning and noise avoidance in floorplan design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Saurabh N. Adya, Igor L. Markov Combinatorial techniques for mixed-size placement. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, placement, floorplanning
14Lei Cheng 0001, Liang Deng, Martin D. F. Wong Floorplanning for 3-D VLSI design. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Grzegorz Blakiewicz, Marcin Jeske, Malgorzata Chrzanowska-Jeske, Jin S. Zhang Substrate noise modeling in early floorplanning of MS-SOCs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Mario R. Casu, Luca Macchiarulo Floorplan assisted data rate enhancement through wire pipelining: a real assessment. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF through-put, systems-on-chip, floorplanning, wire pipelining
14Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace: an analytical placer for mixed-mode designs. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed-mode placement, floorplanning, analytical placement
14Tony F. Chan, Jason Cong, Michail Romesis, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 mPL6: a robust multilevel mixed-size placement engine. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed-size placement, legalization, helmholtz equation, force-directed placement, multilevel optimization
14Yongpan Liu, Huazhong Yang, Rong Luo, Hui Wang 0004 A Hierarchical Approach for Incremental Floorplan Based on Genetic Algorithms. Search on Bibsonomy ICNC (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Song Chen 0001, Xianlong Hong, Sheqin Dong, Yuchun Ma, Chung-Kuan Cheng Floorplanning with Consideration of White Space Resource Distribution for Repeater Planning. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14S. A. Moghaddam, Nasser Masoumi, Caro Lucas A Stochastic Power-Supply Noise Reduction Technique Using Max-Flow Algorithm and Decoupling Capacitance. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Yi-Lin Hsieh, Tsai-Ming Hsieh A New Effective Congestion Model in Floorplan Design. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Hayward H. Chan, Igor L. Markov Practical slicing and non-slicing block-packing without simulated annealing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF block-packing, optimal, evaluation, branch-and-bound, floorplanning, slicing, hierarchical, large-scale, soft blocks
14Saurabh N. Adya, Igor L. Markov Fixed-outline floorplanning: enabling hierarchical design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Marcin Jeske, Naveed A. Sherwani Integrated floorplanning with buffer/channel insertion for bus-based designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 120 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license