The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for glitching with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-2001 (16) 2002-2007 (17) 2008-2021 (16) 2022-2024 (4)
Publication types (Num. hits)
article(17) inproceedings(36)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 36 occurrences of 32 keywords

Results
Found 53 publication records. Showing 53 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
122Anand Raghunathan, Sujit Dey, Niraj K. Jha Register-transfer level estimation techniques for switching activity and power consumption. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF gate-level implementation, register-transfer level estimation, logic design, power consumption, switching activity, glitching, RTL designs
72Anand Raghunathan, Sujit Dey, Niraj K. Jha High-level macro-modeling and estimation techniques for switching activity and power consumption. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
66Janardhan H. Satyanarayana, Keshab K. Parhi Theoretical analysis of word-level switching activity in the presence of glitching and correlation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
60Chad Spensky, Aravind Machiry, Nathan Burow, Hamed Okhravi, Rick Housley, Zhongshu Gu, Hani Jamjoom, Christopher Kruegel, Giovanni Vigna Glitching Demystified: Analyzing Control-flow-based Glitching Attacks and Defenses. Search on Bibsonomy DSN The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
54Anand Raghunathan, Sujit Dey, Niraj K. Jha Register transfer level power optimization with emphasis on glitch analysis and reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
51Anantha P. Chandrakasan Ultra low power digital signal processing. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ultra low power digital signal processing, portable wireless device, capacitance minimization, spatial signal correlations, temporal signal correlations, re-synchronization, operation reduction, 1 V, logic design, DSP, power consumption, switching, integrated circuit design, voltage scaling, digital signal processing chips, circuit optimisation, circuit design, data representation, circuit optimization, glitching, architecture optimization
48Janardhan H. Satyanarayana, Keshab K. Parhi Theoretical Analysis of Word-Level Switching Activity in the Presence of Glitching and Correlation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Ruzica Jevtic, Carlos Carreras Analytical High-Level Power Model for LUT-Based Components. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Ruzica Jevtic, Carlos Carreras, Gabriel Caffarena Switching Activity Models for Power Estimation in FPGA Multipliers. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Ahmed Sayed, Hussain Al-Asaad A New Statistical Approach for Glitch Estimation in Combinational Circuits. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Brock J. LaMeres, Kanupriya Gulati, Sunil P. Khatri Controlling inductive cross-talk and power in off-chip buses using CODECs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Xun Liu, Marios C. Papaefthymiou Incorporation of input glitches into power macromodeling. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Hao Lin 0005, Cai Liu, Zhenhua Li 0001, Feng Qian 0001, Mingliang Li, Ping Xiong, Yunhao Liu 0001 Aging or Glitching? What Leads to Poor Android Responsiveness and What Can We Do About It? Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
30Xhani Marvin Saß, Richard Mitev, Ahmad-Reza Sadeghi Oops..! I Glitched It Again! How to Multi-Glitch the Glitching-Protections on ARM TrustZone-M. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
30Xhani Marvin Saß, Richard Mitev, Ahmad-Reza Sadeghi Oops..! I Glitched It Again! How to Multi-Glitch the Glitching-Protections on ARM TrustZone-M. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2023 DBLP  BibTeX  RDF
30Jonas Ruchti, Michael Gruber, Michael Pehl When the Decoder Has to Look Twice: Glitching a PUF Error Correction. Search on Bibsonomy IACR Trans. Cryptogr. Hardw. Embed. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
30Otto Bittner, Thilo Krachenfels, Andreas Galauner, Jean-Pierre Seifert The Forgotten Threat of Voltage Glitching: A Case Study on Nvidia Tegra X2 SoCs. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
30Jonas Ruchti, Michael Gruber, Michael Pehl When the Decoder Has to Look Twice: Glitching a PUF Error Correction. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2021 DBLP  BibTeX  RDF
30Jonathon Durand, Anisul Abedin, Jakub Szefer Ultra Freezing Attacks and Clock Glitching of Clock Oscillator Circuits. Search on Bibsonomy AsianHOST The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
30Otto Bittner, Thilo Krachenfels, Andreas Galauner, Jean-Pierre Seifert The Forgotten Threat of Voltage Glitching: A Case Study on Nvidia Tegra X2 SoCs. Search on Bibsonomy FDTC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
30Mingliang Li, Hao Lin 0005, Cai Liu, Zhenhua Li 0001, Feng Qian 0001, Yunhao Liu 0001, Nian Xiang Sun, Tianyin Xu Experience: aging or glitching? why does android stop responding and what can we do about it? Search on Bibsonomy MobiCom The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
30G. Surya, Paolo Maistri, Sriram Sankaran Local Clock Glitching Fault Injection with Application to the ASCON Cipher. Search on Bibsonomy iSES The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
30Thomas Polzer, Florian Huemer, Andreas Steininger An Experimental Study of Metastability-Induced Glitching Behavior. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
30Yifan Lu Injecting Software Vulnerabilities with Voltage Glitching. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
30Raúl Jiménez-Naharro, Fernando Gómez-Bravo, Jonathan Medina García, Manuel Sanchez-Raya, Juan Antonio Gómez Galán A Smart Sensor for Defending against Clock Glitching Attacks on the I2C Protocol in Robotic Applications. Search on Bibsonomy Sensors The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Beverley Hood Glitching. Search on Bibsonomy Creativity & Cognition The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Michael Meixner, Tobias G. Noll Statistical Modeling of Glitching Effects in Estimation of Dynamic Power Consumption. Search on Bibsonomy VLSID The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Jakub Korczyc, Andrzej Krasniewski Evaluation of susceptibility of FPGA-based circuits to fault injection attacks based on clock glitching. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Tomasz S. Czajkowski, Stephen Dean Brown Using Negative Edge Triggered FFs to Reduce Glitching Power in FPGA Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Sangjin Hong, Shu-Shin Chin, Magesh Sadasivam Glitching power reduction through supply voltage adaptation mechanism for low power array structure design. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
30Ioannis Karafyllidis, Stelios Mavridis, Dimitrios Soudris, Adonios Thanailakis Estimation of power dissipation in glitching using complex-time cellular automata. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Julien Lamoureux, Guy G. Lemieux, Steven J. E. Wilton GlitchLess: Dynamic Power Minimization in FPGAs Through Edge Alignment and Glitch Filtering. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Fuding Ge, Malay Trivedi, Brent Thomas, William Jiang, Hongjiang Song 1.5V 0.5mW 2MSPS 10B DAC with rail-to-rail output in 0.13mum CMOS technology. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Julien Lamoureux, Guy G. Lemieux, Steven J. E. Wilton GlitchLess: an active glitch minimization technique for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, power minimization
18V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Interactive presentation: On power-profiling and pattern generation for power-safe scan tests. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Glitch-Aware Pattern Generation and Optimization Framework for Power-Safe Scan Test. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Low Power ATPG, Glitch Power, IR Drop, Peak Power, Power-profiling
18Dhananjai Madhava Rao, Philip A. Wilsey Applying parallel, dynamic-resolution simulations to accelerate VLSI power estimation. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Olli Vertanen Java Type Confusion and Fault Attacks. Search on Bibsonomy FDTC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF type confusion, Java, embedded systems, fault attacks, Java Card
18Wieland Fischer, Berndt M. Gammel Masking at Gate Level in the Presence of Glitches. Search on Bibsonomy CHES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF random masking, masked logic circuits, Cryptanalysis, side-channel attacks, DPA, power analysis, logic circuits, digital circuits, masking, glitches
18Sangjin Hong, Shu-Shin Chin Incorporating Power Reduction Mechanism in Arithmetic Core Design. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Victor V. Zyuban Optimization of scannable latches for low energy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Horng-Bin Wang, Shi-Yu Huang, Jing-Reng Huang Gate-Delay Fault Diagnosis Using the Inject-and-Evaluate Paradigm. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Sergei P. Skorobogatov, Ross J. Anderson Optical Fault Induction Attacks. Search on Bibsonomy CHES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18K'Andrea C. Bickerstaff, Earl E. Swartzlander Jr., Michael J. Schulte Analysis of Column Compression Multipliers. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Artur Wróblewski, Otto Schumacher, Christian V. Schimpfle, Josef A. Nossek Minimizing gate capacitances with transistor sizing. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Antonio G. M. Strollo, Ettore Napoli, Carlo Cimino Analysis of power dissipation in double edge-triggered flip-flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Subodh Gupta, Farid N. Najm Power modeling for high-level power estimation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Henrik Eriksson, Per Larsson-Edefors Impact of Voltage Scaling on Glitch Power Consumption. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Sujit Dey, Anand Raghunathan, Niraj K. Jha, Kazutoshi Wakabayashi Controller-based power management for control-flow intensive designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Christian V. Schimpfle, Sven Simon 0001, Josef A. Nossek Device level based cell modeling for fast power estimation. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Anand Raghunathan, Sujit Dey, Niraj K. Jha, Kazutoshi Wakabayashi Power Management Techniques for Control-Flow Intensive Designs. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Chin-Chi Teng, Anthony M. Hill, Sung-Mo Kang Estimation of maximum transition counts at internal nodes in CMOS VLSI circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF maximum switching activity, uncertainty waveforms, circuit reliability
18Srinivas Devadas, Kurt Keutzer, Jacob K. White 0001 Estimation of power dissipation in CMOS combinational circuits using Boolean function manipulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #53 of 53 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license