The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase inner-loops (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-2000 (16) 2001-2005 (19) 2006-2009 (16) 2010-2022 (5)
Publication types (Num. hits)
article(12) inproceedings(43) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 47 occurrences of 45 keywords

Results
Found 56 publication records. Showing 56 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
46Zhiyuan Li 0001, Yonghong Song Automatic tiling of iterative stencil loops. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Caches, optimizing compilers, loop transformations
44Chunho Lee, Miodrag Potkonjak, William H. Mangione-Smith MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communicatons Systems. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MediaBench, SPEC benchmark suite, benchmark suite, compilation technology, experimental measurement, general-purpose computing, general-purpose systems, inner-loops, optimization, multimedia systems, instruction-level parallelism, SIMD, VLIW, communications systems, embedded applications, microprocessor architectures
36Mohammed Fellahi, Albert Cohen 0001 Software Pipelining in Nested Loops with Prolog-Epilog Merging. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Zhiyuan Li 0001 Optimal Skewed Tiling for Cache Locality Enhancement. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Xinhan Lin, Shouyi Yin, Leibo Liu, Shaojun Wei Exploiting parallelism of imperfect nested loops with sibling inner loops on coarse-grained reconfigurable architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
32Philip S. Liu, Frederic J. Mowle Techniques of Program Execution with a Writable Control Memory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF writable control memory, Detection schemes, inner loops, simulation, performance improvement, Fortran programs
29Alain Cardon, Jean-Charles Campagne, Mickaël Camus A Self-adapting System Generating Intentional Behavior and Emotions. Search on Bibsonomy WRAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Yosi Ben-Asher, Moshe Yuda Source Level Merging of Independent Programs. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Tipp Moseley, Daniel A. Connors, Dirk Grunwald, Ramesh Peri Identifying potential parallelism via loop-centric profiling. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF loop profiling, parallelization
23Darin Petkov, Randolph E. Harr, Saman P. Amarasinghe Efficient Pipelining of Nested Loops: Unroll-and-Squash. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Amy W. Lim, Shih-Wei Liao, Monica S. Lam Blocking and array contraction across arbitrarily nested loops using affine partitioning. Search on Bibsonomy PPoPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Josep Llosa, Mateo Valero, José A. B. Fortes, Eduard Ayguadé Using Sacks to Organize Registers in VLIW Machines. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Thyago Tenório, Seiji Isotani, Ig Ibert Bittencourt Authoring Inner Loops of Intelligent Tutoring Systems Using Collective Intelligence. Search on Bibsonomy AIED (2) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
23Nasim Farahini, Ahmed Hemani, Kolin Paul Distributed Runtime Computation of Constraints for Multiple Inner Loops. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23C. A. Court, Paul H. J. Kelly Loop-Directed Mothballing: Power-gating execution units using fast analysis of inner loops. Search on Bibsonomy COOL Chips The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23José L. Avendaño-Juárez, Víctor M. Hernández Guzmán, Ramón Silva-Ortigoza Velocity and Current Inner Loops in a Wheeled Mobile Robot. Search on Bibsonomy Adv. Robotics The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Rafael Kelly, Javier Moreno-Valenzuela Manipulator motion control in operational space using joint velocity inner loops. Search on Bibsonomy Autom. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Rafael Kelly, Javier Moreno, Fermín Pérez Manipulator motion control in operational space using joint velocity inner loops. Search on Bibsonomy CDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23H. T. Kung 0001 Putting Inner Loops Automatically in Silicon. Search on Bibsonomy VLSI Engineering The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
23Sridhar Vasudevan Inner Loops in Flowgraphs and Code Optimization. Search on Bibsonomy Acta Informatica The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
23Bernard Mont-Reynaud Hierarchical properties of flows, and the determination of inner loops. Search on Bibsonomy 1977   RDF
18Johann Großschädl, Stefan Tillich, Alexander Szekely Performance Evaluation of Instruction Set Extensions for Long Integer Modular Arithmetic on a SPARC V8 Processor. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hua Zhang 0006, Changqian Zhu, Qiang Zhao, Hao Shen Directly Rasterizing Straight Line by Calculating the Intersection Point. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Johann Großschädl, Guy-Armand Kamendje Architectural Enhancements for Montgomery Multiplication on Embedded RISC Processors. Search on Bibsonomy ACNS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Kuei-Ping Shih, Jang-Ping Sheu, Chih-Yung Chang Efficient Address Generation for Affine Subscripts in Data-Parallel Programs. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF affine subscripts, multiple induction variables (MIVs), data distribution, distributed-memory multicomputers, single program multiple data (SPMD), data-parallel languages, address generation
18Kemal Ebcioglu A compilation technique for software pipelining of loops with conditional jumps. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
17Rui Pedro Duarte Cortesão, Philippe Poignet Motion compensation for robotic-assisted surgery with force feedback. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Sean Rul, Hans Vandierendonck, Koen De Bosschere Extracting coarse-grain parallelism in general-purpose programs. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF do-across, thread-level parallelism, coarse-grain parallelism
17Hongbo Rong, Zhizhong Tang, Ramaswamy Govindarajan, Alban Douillet, Guang R. Gao Single-dimension software pipelining for multidimensional loops. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Software pipelining, loop transformation, modulo scheduling
17Florent Blachot, Benoît Dupont de Dinechin, Guillaume Huard SCAN: A Heuristic for Near-Optimal Software Pipelining. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Christian Tenllado, Luis Piñuel, Manuel Prieto 0001, Francisco Tirado, Francky Catthoor Improving superword level parallelism support in modern compilers. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF superword level parallelism, FIR, automatic vectorization
17Peng Wu 0001, Alexandre E. Eichenberger, Amy Wang, Peng Zhao An integrated simdization framework using virtual vectors. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Kalyan Muthukumar, Gautam Doshi Software Pipelining of Nested Loops. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17David McQueen, Charles S. Peskin Shared-Memory Parallel Vector Implementation of the Immersed Boundary Method for the Computation of Blood Flow in the Beating Mammalian Heart. Search on Bibsonomy J. Supercomput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cardiac fluid dynamics, immersed boundary method, Parallel computing, shared memory, vectorization, computational fluid dynamics, heart
12Henrik Svensson, Thomas Lenart, Viktor Öwall Modelling and exploration of a reconfigurable array using systemC TLM. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sam S. Stone, Justin P. Haldar, Stephanie C. Tsao, Wen-mei W. Hwu, Zhi-Pei Liang, Bradley P. Sutton Accelerating advanced mri reconstructions on gpus. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gpu computing, mri, reconstruction, gpgpu, cuda
12Russell Meyers, Zhiyuan Li 0001 ASYNC Loop Constructs for Relaxed Synchronization. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yonghong Yang, Zhenyu (Peter) Gu, Changyun Zhu, Robert P. Dick, Li Shang ISAC: Integrated Space-and-Time-Adaptive Chip-Package Thermal Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Oscar Cordón, Sergio Damas Image registration with iterated local search. Search on Bibsonomy J. Heuristics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multi start local search, Genetic algorithms, Image registration, Metaheuristics, Iterated local search, Iterative closest point
12Yonghong Yang, Zhenyu (Peter) Gu, Changyun Zhu, Li Shang, Robert P. Dick Adaptive chip-package thermal analysis for synthesis and design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Cao Zhang, Duncan A. Buell, Allen Michalski The Darpa Multiple Precision Arithmetic Benchmark on a Reconfigurable Computer. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Xiangrong Zhou, Peter Petrov Rapid and low-cost context-switch through embedded processor customization for real-time and control applications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Nahri Moreano, Edson Borin, Cid C. de Souza, Guido Araujo Efficient datapath merging for partially reconfigurable architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jung Ho Ahn, William J. Dally, Brucek Khailany, Ujval J. Kapasi, Abhishek Das Evaluating the Imagine Stream Architecture. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Lauren Hui Chen, Malgorzata Marek-Sadowska Closed-Form Crosstalk Noise Metrics for Physical Design Applications. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Lauren Hui Chen, Malgorzata Marek-Sadowska Incremental delay change due to crosstalk noise. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Guido Araujo, Sharad Malik, Zhining Huang, Nahri Moreano Datapath Merging and Interconnection Sharing for Reconfigurable Architectures. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high level and architectural synthesis, reconfigurable computing
12Rajeev Joshi, Greg Nelson, Keith H. Randall Denali: A Goal-directed Superoptimizer. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF superoptimizer, optimizing compiler
12Lauren Hui Chen, Malgorzata Marek-Sadowska Efficient Closed-Form Crosstalk Delay Metrics. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect coupling, coupling direction, delay, crosstalk
12Sally A. McKee, William A. Wulf, James H. Aylor, Robert H. Klenke, Maximo H. Salinas, Sung I. Hong, Dee A. B. Weikle Dynamic Access Ordering for Streamed Computations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Memory systems architecture, memory access ordering, memory access scheduling, memory bandwidth, memory latency
12Sung I. Hong, Sally A. McKee, Maximo H. Salinas, Robert H. Klenke, James H. Aylor, William A. Wulf Access Order and Effective Bandwidth for Streams on a Direct Rambus Memory. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Rizos Sakellariou A Compile-Time Partitioning Strategy for Non-Rectangular Loop Nests. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Margaret Reid-Miller List Ranking and List Scan on the Cray C-90. Search on Bibsonomy SPAA The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Zahira Ammarguellat, Williams Ludwell Harrison III Automatic Recognition of Induction Variables and Recurrence Relations by Abstract Interpretation. Search on Bibsonomy PLDI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Edward C. Anderson, Zhaojun Bai, Jack J. Dongarra, Anne Greenbaum, A. McKenney, Jeremy Du Croz, Sven Hammarling, James Demmel, Christian H. Bischof, Danny C. Sorensen LAPACK: a portable linear algebra library for high-performance computers. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF LINPACK
12Toshio Nakatani, Kemal Ebcioglu "Combining" as a compilation technique for VLIW architectures. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #56 of 56 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license