|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 435 occurrences of 313 keywords
|
|
|
Results
Found 379 publication records. Showing 379 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
177 | Mayan Moudgill, Stamatis Vassiliadis |
Precise Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 16(1), pp. 58-67, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
interrupt handlers, out-of-order issue processors, instruction level parallel processors, pipelining, exceptions, superscalar processors, traps, precise interrupts |
134 | Ted Hills |
Response to A Note on Structured Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 28(4), pp. 31-33, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
110 | Xinyu Feng 0001, Zhong Shao, Yu Guo, Yuan Dong |
Certifying Low-Level Programs with Hardware Interrupts and Preemptive Threads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Autom. Reason. ![In: J. Autom. Reason. 42(2-4), pp. 301-347, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Operating system verification, Hardware interrupts, Preemptive threads, Thread libraries, Modularity, Separation logic, Synchronization primitives |
110 | Xinyu Feng 0001, Zhong Shao, Yuan Dong, Yu Guo |
Certifying low-level programs with hardware interrupts and preemptive threads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2008 Conference on Programming Language Design and Implementation, Tucson, AZ, USA, June 7-13, 2008, pp. 170-182, 2008, ACM, 978-1-59593-860-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
certified system software, hardware interrupts, preemptive threads, concurrency, separation logic |
78 | Guoqiang Li 0001, Shoji Yuen, Masakazu Adachi |
Environmental Simulation of Real-Time Systems with Nested Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TASE ![In: TASE 2009, Third IEEE International Symposium on Theoretical Aspects of Software Engineering, 29-31 July 2009, Tianjin, China, pp. 21-28, 2009, IEEE Computer Society, 978-0-7695-3757-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
78 | Daniel Sundmark, Henrik Thane |
Pinpointing interrupts in embedded real-time systems using context checksums. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETFA ![In: Proceedings of 13th IEEE International Conference on Emerging Technologies and Factory Automation, ETFA 2008, September 15-18, 2008, Hamburg, Germany, pp. 774-781, 2008, IEEE, 1-4244-1505-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
67 | Luke Wildman, Brad Long, Paul A. Strooper |
Testing Java Interrupts and Timed Waits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 11th Asia-Pacific Software Engineering Conference (APSEC 2004), 30 November - 3 December 2004, Busan, Korea, pp. 438-447, 2004, IEEE Computer Society, 0-7695-2245-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
67 | Lambert Schaelicke, Al Davis, Sally A. McKee |
Profiling I/O Interrupts in Modern Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: MASCOTS 2000, Proceedings of the 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 29 August - 1 September 2000, San Francisco, California, USA, pp. 115-123, 2000, IEEE Computer Society, 0-7695-0728-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
67 | Olivier Maquelin, Guang R. Gao, Herbert H. J. Hum, Kevin B. Theobald, Xinmin Tian |
Polling Watchdog: Combining Polling and Interrupts for Efficient Message Handling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 179-188, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
65 | Aamer Jaleel, Bruce L. Jacob |
In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(5), pp. 559-574, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Reorder-buffer (ROB), exception handlers, in-line interrupt, lock-up free, translation lookaside buffers (TLBs), performance modeling, precise interrupts |
65 | Henrik Thane, Hans Hansson |
Handling Interrupts in Testing of Distributed Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 6th International Workshop on Real-Time Computing and Applications Symposium (RTCSA '99), 13-16 December 1999, Hong Kong, China, pp. 450-, 1999, IEEE Computer Society, 0-7695-0306-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
scheduling, Testing, interrupts, testability, determinism, distributed real-time systems, reproducibility, response-time analysis, sporadic tasks, probe-effect |
56 | Joe Gwinn |
Some Measurements of Timeline Gaps in VAX/VMS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 28(2), pp. 92-96, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
VAX/VMS |
56 | Kohei Suenaga, Naoki Kobayashi 0001 |
Type-Based Analysis of Deadlock for a Concurrent Calculus with Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESOP ![In: Programming Languages and Systems, 16th European Symposium on Programming, ESOP 2007, Held as Part of the Joint European Conferences on Theory and Practics of Software, ETAPS 2007, Braga, Portugal, March 24 - April 1, 2007, Proceedings, pp. 490-504, 2007, Springer, 978-3-540-71314-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
56 | Miao Liu, Zili Shao, Meng Wang 0005, Hongxing Wei, Tianmiao Wang |
Implementing Hybrid Operating Systems with Two-Level Hardware Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 28th IEEE Real-Time Systems Symposium (RTSS 2007), 3-6 December 2007, Tucson, Arizona, USA, pp. 244-253, 2007, IEEE Computer Society, 0-7695-3062-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
54 | Dan Tsafrir |
The context-switch overhead inflicted by hardware interrupts (and the enigma of do-nothing loops). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Experimental Computer Science ![In: Proceedings of the Workshop on Experimental Computer Science, Part of ACM FCRC, San Diego, CA, USA, 13-14 June 2007, pp. 4, 2007, ACM, 978-1-59593-751-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
clock interrupts, operating system noise, ticks |
53 | M. Esen Tuna, Kamlesh Rath, Steven D. Johnson |
Specification and synthesis of bounded indirection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), March 16-18, 1995, The State University of New York at Buffalo, USA, pp. 86-89, 1995, IEEE Computer Society, 0-8186-7035-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
bounded indirection, complex control structures, dynamic connections, control state indirection, value indirection, net indirection, behavior tables, data path descriptions, DMA controller, formal specification, high level synthesis, finite state machines, interrupts, interrupts, continuations, hardware description languages, hardware description languages, pointers, system specification, data flow computing, control system CAD |
53 | Michael González Harbour, Mark H. Klein, John P. Lehoczky |
Timing Analysis for Fixed-Priority Scheduling of Hard Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 20(1), pp. 13-28, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
periodic task set, fixed-priority methods, serially executed subtasks, complex priority structure, nonpreemptible sections, scheduling, schedulability, real-time systems, robots, robotics, message passing, interrupts, interrupts, timing analysis, execution time, hard real-time systems, fixed-priority scheduling, precedence constraints, periodic tasks, message-passing systems, synchronization protocols, uniprocessor |
53 | Rajive L. Bagrodia, Chien-Chung Shen |
MIDAS: Integrated Design and Simulation of Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 17(10), pp. 1042-1058, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
partially implemented design, operational subsystems, stochastic performance characteristics, partially elaborated design, distributed systems, virtual machines, distributed processing, system design, interrupts, interrupts, simulation models, hybrid models, executable model, distributed components, simulation language, simulation languages, simulation algorithms, iterative refinement, MIDAS |
45 | Maobing Dai, Yutaka Ishikawa |
Delayed Interrupt Processing Technique for Reducing Latency of Timer Interrupt in Embedded Linux. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSE (2) ![In: Proceedings of the 12th IEEE International Conference on Computational Science and Engineering, CSE 2009, Vancouver, BC, Canada, August 29-31, 2009, pp. 388-393, 2009, IEEE Computer Society, 978-1-4244-5334-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
45 | William L. Harrison, Gerard Allwein, Andy Gill, Adam M. Procter |
Asynchronous Exceptions as an Effect. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MPC ![In: Mathematics of Program Construction, 9th International Conference, MPC 2008, Marseille, France, July 15-18, 2008. Proceedings, pp. 153-176, 2008, Springer, 978-3-540-70593-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
45 | Yesh C. Pendarkar |
The porting of XINU to the system 370 VM/CMS environment (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the 15th ACM Annual Conference on Computer Science, St. Louis, Missouri, USA, February 16-19, 1987, pp. 463-464, 1987, ACM, 0-89791-218-7. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
XINU, IBM System/370 |
44 | Giovani Gracioli, Sebastian Fischmeister |
Tracing interrupts in embedded software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, LCTES 2009, Dublin, Ireland, June 19-20, 2009, pp. 137-146, 2009, ACM, 978-1-60558-356-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
real-time systems, debugging, tracing, embedded software |
43 | Roger Espasa, Mateo Valero, James E. Smith 0001 |
Out-of-Order Vector Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 160-170, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
memory traffic elimination, microarchitecture, out-of-order execution, memory latency, register renaming, vector architecture, precise interrupts |
43 | James E. Smith, Andrew R. Pleszkun |
Implementing Precise Interrupts in Pipelined Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 37(5), pp. 562-573, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
precise recovery, precise interrupt problem, saved process state, sequential model of program execution, architectural order, parallel pipeline structure, Cray-1S scalar architecture, performance evaluation, parallel architectures, interrupts, pipeline processing, system recovery, pipelined processors, performance degradation |
42 | Wade Walker, Harvey G. Cragon |
Interrupt Processing in Concurrent Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 28(6), pp. 36-46, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Interrupt processing, imprecise interrupts, concurrent processors, checkpointing, taxonomy, superscalar processors, pipelined processors, out- of-order execution, out-of-order issue, precise interrupts |
33 | Stephan Korsholm, Martin Schoeberl, Anders P. Ravn |
Interrupt Handlers in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: 11th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2008), 5-7 May 2008, Orlando, Florida, USA, pp. 453-457, 2008, IEEE Computer Society, 978-0-7695-3132-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
33 | Peng Liu 0027, Ming Cai, Tingting Fu, Jinxiang Dong |
An EDF Interrupt Handling Scheme for Real-Time Kernel: Design and Task Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (4) ![In: Computational Science - ICCS 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part IV, pp. 969-972, 2007, Springer, 978-3-540-72589-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Interrupt scheduling, Task simulation, Similar Earliest-Deadline-First, Real-time system |
33 | Pradipta De, Ravi Kothari, Vijay Mann |
Identifying sources of Operating System Jitter through fine-grained kernel instrumentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: Proceedings of the 2007 IEEE International Conference on Cluster Computing, 17-20 September 2007, Austin, Texas, USA, pp. 331-340, 2007, IEEE Computer Society, 978-1-4244-1387-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | Huaidong Shi, Ming Cai, Jinxiang Dong |
Interrupt Synchronization Lock for Real-time Operating Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Sixth International Conference on Computer and Information Technology (CIT 2006), 20-22 September 2006, Seoul, Korea, pp. 171, 2006, IEEE Computer Society, 0-7695-2687-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
33 | Yuting Zhang, Richard West |
Process-Aware Interrupt Scheduling and Accounting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 27th IEEE Real-Time Systems Symposium (RTSS 2006), 5-8 December 2006, Rio de Janeiro, Brazil, pp. 191-201, 2006, IEEE Computer Society, 0-7695-2761-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
33 | Colin J. Fidge, Phil Cook |
Model Checking Interrupt-Dependent Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 12th Asia-Pacific Software Engineering Conference (APSEC 2005), 15-17 December 2005, Taipei, Taiwan, pp. 51-58, 2005, IEEE Computer Society, 0-7695-2465-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
33 | Benjamin J. Welch, Shobhit O. Kanaujia, Adarsh Seetharam, Deepaksrivats Thirumalai, Alexander G. Dean |
Extending STI for demanding hard-real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2003, San Jose, California, USA, October 30 - November 1, 2003, pp. 41-50, 2003, ACM, 1-58113-676-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
AVR, NTSC video, STIGLitz, post-pass compiler, embedded systems, hardware-to-software migration, fine-grain concurrency, software thread integration |
33 | Aamer Jaleel, Bruce L. Jacob |
Improving the Precise Interrupt Mechanism of Software-Managed TLB Miss Handlers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2001, 8th International Conference, Hyderabad, India, December, 17-20, 2001, Proceedings, pp. 282-293, 2001, Springer, 3-540-43009-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Alastair Reid 0001 |
Putting the Spine Back in the Spineless Tagless G-Machine: An Implementation of Resumable Black-Holes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFL ![In: Implementation of Functional Languages, 10th International Workshop, IFL'98, London, UK, September 9-11, Selected Papers, pp. 186-199, 1998, Springer, 3-540-66229-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
33 | Jeffrey C. Mogul, K. K. Ramakrishnan |
Eliminating Receive Livelock in an Interrupt-Driven Kemel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 15(3), pp. 217-252, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
interrupt-driven kernel, scheduling, polling, livelock |
33 | Fu-Ching Yang, Jing-Kun Zhong, Ing-Jer Huang |
Verifying external interrupts of embedded microprocessor in SoC with on-chip bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 372-377, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
33 | Salman AlQahtani |
Performance Evaluation of Handling Interrupts Schemes in Gigabit Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Seventh International Conference on Computer and Information Technology (CIT 2007), October 16-19, 2007, University of Aizu, Fukushima, Japan, pp. 497-502, 2007, IEEE Computer Society, 978-0-7695-2983-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | Martin Skambraks |
A Safety-Related PES for Task-Oriented Real-Time Execution Without Asynchronous Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAFECOMP ![In: Computer Safety, Reliability, and Security, 24th International Conference, SAFECOMP 2005, Fredrikstad, Norway, September 28-30, 2005, Proceedings, pp. 261-274, 2005, Springer, 3-540-29200-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
33 | Bruce Chittenden, James Hyde, Jeffrey P. Radick |
A scheme for terminal I/O not requiring interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 20th Annual Southeast Regional Conference, 1982, Knoxville, Tennessee, USA, April 1-3, 1982, pp. 66-72, 1982, ACM, 0-89791-071-0. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
32 | Oguz Ergin, Deniz Balkan, Dmitry Ponomarev 0001, Kanad Ghose |
Early Register Deallocation Mechanisms Using Checkpointed Register Files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(9), pp. 1153-1166, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
register file optimization, Superscalar processors, precise interrupts |
32 | John Regehr, Usit Duongsaa |
Preventing interrupt overload. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2005 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'05), Chicago, Illinois, USA, June 15-17, 2005, pp. 50-58, 2005, ACM, 1-59593-018-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
scheduling, embedded, interrupts, overload |
32 | Dan Tsafrir, Yoav Etsion, Dror G. Feitelson, Scott Kirkpatrick |
System noise, OS clock ticks, and fine-grained parallel applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005, pp. 303-312, 2005, ACM, 1-59593-167-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
modeling system noise, smart timers, timer interrupts, timing services, synchronization, operating systems, HPC, ticks |
32 | Richard Gerber 0001, Insup Lee 0001 |
A Layered Approach to Automating the Verification of Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 18(9), pp. 768-784, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
CSR Application Language, high-level language constructs, periodic processes, configuration schema, CCSR process algebra, resource-based computation model, prioritized transition system, reachability analyzer, CCSR semantics, exception state, multisensor robot example, calculus of communicating systems, real-time systems, real-time systems, verification, formal specification, formal verification, specification, exception handling, exception handling, interrupts, correctness, deadlines, high level languages, timeouts, communication links, system resources, layered approach |
22 | Jörg Brauer, Bastian Schlich, Thomas Reinbacher, Stefan Kowalewski |
Stack bounds analysis for microcontroller assembly code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WESS ![In: Proceedings of the 4th Workshop on Embedded Systems Security, WESS 2009, Grenoble, France, October 15, 2009, 2009, ACM, 978-1-60558-700-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
model checking, verification, static analysis, embedded software, assembly code |
22 | F. Ryan Johnson, JoAnn M. Paul |
Interrupt modeling for efficient high-level scheduler design space exploration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(1), pp. 10:1-10:22, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
scenario oriented design, MESH, Heterogeneous chip multiprocessors |
22 | Sean Callanan, David J. Dean, Michael Gorbovitski, Radu Grosu, Justin Seyster, Scott A. Smolka, Scott D. Stoller, Erez Zadok |
Software monitoring with bounded overhead. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-8, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Megumi Ito, Shuichi Oikawa |
Improving Real-Time Performance of a Virtual Machine Monitor Based System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEUS ![In: Software Technologies for Embedded and Ubiquitous Systems, 6th IFIP WG 10.2 International Workshop, SEUS 2008, Anacarpi, Capri Island, Italy, October 1-3, 2008, Proceedings, pp. 114-125, 2008, Springer, 978-3-540-87784-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Antonino Tumeo, Marco Branca, Lorenzo Camerini, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto |
An Interrupt Controller for FPGA-based Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2007), Samos, Greece, July 16-19, 2007, pp. 82-87, 2007, IEEE, 1-4244-1058-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Mari Carmen Puerta Melguizo, Lou Boves, Anita Deshpande, Olga Muñoz Ramos |
A proactive recommendation system for writing: helping without disrupting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECCE ![In: Proceedings of the 14th European Conference on Cognitive Ergonomics: invent! explore!, ECCE 2007, London, UK, August 28-31, 2007, pp. 89-95, 2007, ACM, 978-1-84799-849-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
proactive recommender system, writing stages, planning, interruptions, information seeking, editing |
22 | Peng Liu 0027, Guojun Dai, Tingting Fu, Hong Zeng, Xiang Zhang |
A Lazy EDF Interrupt Scheduling Algorithm for Multiprocessor in Parallel Computing Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP ![In: Algorithms and Architectures for Parallel Processing, 7th International Conference, ICA3PP 2007, Hangzhou, China, June 11-14, 2007, Proceedings, pp. 49-59, 2007, Springer, 978-3-540-72904-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Interrupt scheduling, Lazy EDF, Real-time system, Parallel computing, Multiprocessor |
22 | C. Michael Olsen, Chandra Narayanaswami |
PowerNap: An Efficient Power Management Scheme for Mobile Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 5(7), pp. 816-828, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
operating systems, Power management, mobile systems, processors |
22 | Matteo Marchesotti, Roberto Podestá, Mauro Migliardi |
A Measurement-Based Analysis of the Responsiveness of the Linux Kernel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECBS ![In: 13th Annual IEEE International Conference and Workshop on Engineering of Computer Based Systems (ECBS 2006), 27-30 March 2006, Potsdam, Germany, pp. 397-408, 2006, IEEE Computer Society, 0-7695-2546-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
embedded systems, linux, soft real-time |
22 | Zoran A. Salcic, Flavius Gruian, Partha S. Roop, Alif Wahid |
A Scheduler Support Unit for Reactive Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 12th IEEE Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2006), 16-18 August 2006, Sydney, Australia, pp. 368-372, 2006, IEEE Computer Society, 0-7695-2676-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Hidenori Umeno, Masato Kiyama, Takafumi Fukunaga, Takashige Kubo |
New Method for Dispatching Waiting Logical Processors in Virtual Machine Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC (1) ![In: 29th Annual International Computer Software and Applications Conference, COMPSAC 2005, Edinburgh, Scotland, UK, July 25-28, 2005. Volume 1, pp. 551-560, 2005, IEEE Computer Society, 0-7695-2413-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | John Regehr |
Random testing of interrupt-driven software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: EMSOFT 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th ACM International Conference On Embedded Software, Proceedings, pp. 290-298, 2005, ACM, 1-59593-091-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
interrupt-driven software, sensor networks, embedded systems, random testing |
22 | Satish Narayanasamy, Gilles Pokam, Brad Calder |
BugNet: Continuously Recording Program Execution for Deterministic Replay Debugging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 284-295, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Christoph M. Kirsch, Marco A. A. Sanvido, Thomas A. Henzinger |
A programmable microkernel for real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 1st International Conference on Virtual Execution Environments, VEE 2005, Chicago, IL, USA, June 11-12, 2005, pp. 35-45, 2005, ACM, 1-59593-047-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
real time, virtual machine, operating system |
22 | John Regehr, Alastair Reid 0001, Kirk Webb, Michael A. Parker, Jay Lepreau |
Evolving real-time systems using hierarchical scheduling and concurrency analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 24th IEEE Real-Time Systems Symposium (RTSS 2003), 3-5 December 2003, Cancun, Mexico, pp. 25-36, 2003, IEEE Computer Society, 0-7695-2044-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Branden J. Moore, Thomas Slabach, Lambert Schaelicke |
Profiling Interrupt Handler Performance through Kernel Instrumentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 156-163, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Christian Panis, Raimund Leitner, Jari Nurmi |
Scaleable Shadow Stack for a Configurable DSP Concept. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOC ![In: Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'03), 30 June - 2 July 2003, Calgary, Alberta, Canada, pp. 222-227, 2003, IEEE Computer Society, 0-7695-1944-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Christopher D. Carothers |
XSim: real-time analytic parallel simulations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADS ![In: Proceedings of the 16th Workshop on Parallel and Distributed Simulation, PADS 2002, Washington, D.C., USA, May 12-15, 2002, pp. 27-34, 2002, IEEE Computer Society, 0-7695-1608-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
xsim, real-time, predictability, parallel simulation, time warp |
22 | Angelos Bilas, Dongming Jiang, Jaswinder Pal Singh |
Accelerating shared virtual memory via general-purpose network interface support. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 19(1), pp. 1-35, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
clusters, applications, system area networks, shared virtual memory |
22 | Mohit Aron, Peter Druschel |
Soft timers: efficient microsecond software timer support for network processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 18(3), pp. 197-228, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
polling, timers, transmission scheduling |
22 | Panagiotis Manolios |
Correctness of Pipelined Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, Third International Conference, FMCAD 2000, Austin, Texas, USA, November 1-3, 2000, Proceedings, pp. 161-178, 2000, Springer, 3-540-41219-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Mohit Aron, Peter Druschel |
Soft timers: efficient microsecond software timer support for network processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 17th ACM Symposium on Operating System Principles, SOSP 1999, Kiawah Island Resort, near Charleston, South Carolina, USA, December 12-15, 1999, pp. 232-246, 1999, ACM, 1-58113-140-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Jun Sawada, Warren A. Hunt Jr. |
Processor Verification with Precise Exeptions and Speculative Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 10th International Conference, CAV '98, Vancouver, BC, Canada, June 28 - July 2, 1998, Proceedings, pp. 135-146, 1998, Springer, 3-540-64608-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Stefanos N. Damianakis, Yuqun Chen, Edward W. Felten |
Reducing Waiting Costs in User-Level Communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: 11th International Parallel Processing Symposium (IPPS '97), 1-5 April 1997, Geneva, Switzerland, Proceedings, pp. 381-387, 1997, IEEE Computer Society, 0-8186-7792-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
waiting cost reduction, user-level communication libraries, interrupt servicing cost, SHRIMP multicomputer, user-level sockets library, hybrid spin-then-block strategy, interrupt path speed, performance, parallel architectures, messages, polling, network interfaces, parallel computer architectures |
22 | Vincent John Mooney III, Giovanni De Micheli |
Real time analysis and priority scheduler generation for hardware-software systems with a synthesized run-time system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 605-612, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
worst-case execution time, rtos, hardware-software codesign, real-time analysis, run-time scheduler |
22 | Vivek V. Badami, Paul Nielsen, James B. Comly |
An intelligent controller for process automation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 4(1), pp. 55-73, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
procedural and rule-based language, crystal growth, Czochralski process, Supervisory controller |
22 | Xin Zhang, Zhi Zhang 0001, Qingni Shen, Wenhao Wang 0001, Yansong Gao, Zhuoxi Yang, Jiliang Zhang 0002 |
SegScope: Probing Fine-grained Interrupts via Architectural Footprints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: IEEE International Symposium on High-Performance Computer Architecture, HPCA 2024, Edinburgh, United Kingdom, March 2-6, 2024, pp. 424-438, 2024, IEEE, 979-8-3503-9313-2. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
22 | Peter Cai, Martin Karsten |
Kernel vs. User-Level Networking: Don't Throw Out the Stack with the Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. ACM Meas. Anal. Comput. Syst. ![In: Proc. ACM Meas. Anal. Comput. Syst. 7(3), pp. 49:1-49:25, December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Moumita Dey, Baki Berkay Yilmaz, Milos Prvulovic, Alenka G. Zajic |
PRIMER: Profiling Interrupts Using Electromagnetic Side-Channel for Embedded Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 71(8), pp. 1824-1838, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Amy Tai, Igor Smolyar, Michael Wei, Dan Tsafrir |
Optimizing Storage Performance with Calibrated Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Storage ![In: ACM Trans. Storage 18(1), pp. 3:1-3:32, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Sara Alonso, Jesús Lázaro 0001, Jaime Jiménez, Leire Muguira, Unai Bidarte |
The influence of virtualization on real-time systems' interrupts in embedded SoC platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DCIS ![In: 37th Conference on Design of Circuits and Integrated Systems, DCIS 2022, Pamplona, Spain, November 16-18, 2022, pp. 1-6, 2022, IEEE, 978-1-6654-5950-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Yoochan Lee, Changwoo Min, Byoungyoung Lee |
ExpRace: Exploiting Kernel Races through Raising Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
USENIX Security Symposium ![In: 30th USENIX Security Symposium, USENIX Security 2021, August 11-13, 2021, pp. 2363-2380, 2021, USENIX Association, 978-1-939133-24-3. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
22 | Nilanjana Basu, Claudio Montanari, Jakob Eriksson |
Frequent background polling on a shared thread, using light-weight compiler interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: PLDI '21: 42nd ACM SIGPLAN International Conference on Programming Language Design and Implementation, Virtual Event, Canada, June 20-25, 2021., pp. 1249-1263, 2021, ACM, 978-1-4503-8391-2. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Amy Tai, Igor Smolyar, Michael Wei, Dan Tsafrir |
Optimizing Storage Performance with Calibrated Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OSDI ![In: 15th USENIX Symposium on Operating Systems Design and Implementation, OSDI 2021, July 14-16, 2021., pp. 129-145, 2021, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
22 | Taro Kiriyama, Yajun Wu, Satoshi Yamane |
Reduction of Timer Interrupts for Embedded Assembly Programs Based on Reduction of Interrupt Handler Executions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GCCE ![In: 10th IEEE Global Conference on Consumer Electronics, GCCE 2021, Kyoto, Japan, October 12-15, 2021, pp. 464-466, 2021, IEEE, 978-1-6654-3676-2. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Yong Xie, Gang Zeng, Ryo Kurachi, Xin Peng 0002, Guoqi Xie, Hiroaki Takada |
Balancing Bandwidth Utilization and Interrupts: Two Heuristic Algorithms for the Optimized Design of Automotive CPS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Informatics ![In: IEEE Trans. Ind. Informatics 16(4), pp. 2382-2392, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Oliver Horst, Johannes Wiesböck, Raphael Wild, Uwe Baumgarten |
Quantifying the Latency and Possible Throughput of External Interrupts on Cyber-Physical Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2009.00506, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
22 | Joshua Allard, Andrew Roskuski, Mark Claypool |
Measuring and modeling the impact of buffering and interrupts on streaming video quality of experience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MoMM ![In: MoMM '20: The 18th International Conference on Advances in Mobile Computing and Multimedia, Chiang Mai, Thailand, November 30 - December 2, 2020, pp. 153-160, 2020, ACM, 978-1-4503-8924-2. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Emiliano Silvestri, Cristian Milia, Romolo Marotta, Alessandro Pellegrini 0001, Francesco Quaglia |
Exploiting Inter-Processor-Interrupts for Virtual-Time Coordination in Speculative Parallel Discrete Event Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSIM-PADS ![In: Proceedings of the 2019 ACM SIGSIM Conference on Principles of Advanced Discrete Simulation, SIGSIM-PADS 2020, Miami, FL, USA, June 15-17, 2020., pp. 49-59, 2020, ACM, 978-1-4503-7592-4. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Jaya Subalakshmi Ramamoorthi, Arun Kumar Sangaiah |
SCGR: Self-configuring greedy routing for minimizing routing interrupts in vehicular communication networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Internet Things ![In: Internet Things 8, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Lihao Liang, Tom Melham, Daniel Kroening, Peter Schrammel, Michael Tautschnig |
Effective Verification for Low-Level Software with Competing Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 17(2), pp. 36:1-36:26, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Kevin Lloyd, Peter Dayan |
Interrupting behaviour: Minimizing decision costs via temporal commitment and low-level interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLoS Comput. Biol. ![In: PLoS Comput. Biol. 14(1), 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Reto Achermann, Lukas Humbel, David A. Cock, Timothy Roscoe |
Formalizing Memory Accesses and Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MARS@ETAPS ![In: Proceedings 2nd Workshop on Models for Formal Analysis of Real Systems, MARS@ETAPS 2017, Uppsala, Sweden, 29th April 2017., pp. 66-116, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Corey Tessler, Gedare Bloom, Nathan Fisher |
Work-in-Progress: Reducing Cache Conflicts via Interrupts and BUNDLE Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTAS ![In: 2017 IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2017, Pittsburg, PA, USA, April 18-21, 2017, pp. 125-128, 2017, IEEE Computer Society, 978-1-5090-5269-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Daniel Bristot de Oliveira, Rômulo Silva de Oliveira, Tommaso Cucinotta, Luca Abeni |
Automata-based modeling of interrupts in the Linux PREEMPT RT kernel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETFA ![In: 22nd IEEE International Conference on Emerging Technologies and Factory Automation, ETFA 2017, Limassol, Cyprus, September 12-15, 2017, pp. 1-8, 2017, IEEE, 978-1-5090-6505-9. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Zádor Dániel Kelemen, Balázs Tódor, Sándor Hodosi, Ákos Somfai |
Refactoring technical support to reduce interrupts of developers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Softw. Evol. Process. ![In: J. Softw. Evol. Process. 28(11), pp. 960-968, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Nadav Amit, Abel Gordon, Nadav Har'El, Muli Ben-Yehuda, Alex Landau, Assaf Schuster, Dan Tsafrir |
Bare-metal performance for virtual machines with exitless interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 59(1), pp. 108-116, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Illés Horváth, Miklós Telek |
Mean field for performance models with deterministic delays and interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Perform. Evaluation ![In: Perform. Evaluation 105, pp. 1-21, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Chris Merritt, Gurpreet Dhillon |
What Interrupts Intention to Comply with IS-Security Policy? ![Search on Bibsonomy](Pics/bibsonomy.png) |
AMCIS ![In: 22nd Americas Conference on Information Systems, AMCIS 2016, San Diego, CA, USA, August 11-14, 2016, 2016, Association for Information Systems, 978-0-9966831-2-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
22 | Han Liu 0010, Yu Jiang 0001, Huafeng Zhang, Ming Gu 0001, Jiaguang Sun 0001 |
Taming Interrupts for Verifying Industrial Multifunction Vehicle Bus Controllers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FM ![In: FM 2016: Formal Methods - 21st International Symposium, Limassol, Cyprus, November 9-11, 2016, Proceedings, pp. 764-771, 2016, 978-3-319-48988-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Fangyuan Li, Jiahu Qin, Shaoshuai Mou, Yu Kang 0001 |
Synchronization of interconnected embedded systems via timer interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICARCV ![In: 14th International Conference on Control, Automation, Robotics and Vision, ICARCV 2016, Phuket, Thailand, November 13-15, 2016, pp. 1-5, 2016, IEEE, 978-1-5090-3549-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Konstantin Macarenco, Kristina Frye, Benjamin Hamlin, Karen L. Karavanic |
The Effects of System Management Interrupts on Multithreaded, Hyper-threaded, and MPI Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 45th International Conference on Parallel Processing Workshops, ICPP Workshops 2016, Philadelphia, PA, USA, August 16-19, 2016, pp. 338-345, 2016, IEEE Computer Society, 978-1-5090-2825-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Ahmed Ibrahim 0001, Hans G. Kerkhoff |
Efficient utilization of hierarchical iJTAG networks for interrupts management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2016, Storrs, CT, USA, September 19-20, 2016, pp. 97-102, 2016, IEEE Computer Society, 978-1-5090-3623-3. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Hristo Pentchev |
Sound semantics of a high-level language with interprocessor interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2016 |
RDF |
|
22 | J. Alex Lee, Jaewook Nam, Matteo Pasquali |
A New Stabilization of Adaptive Step Trapezoid Rule Based on Finite Difference Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIAM J. Sci. Comput. ![In: SIAM J. Sci. Comput. 37(2), 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Zádor Dániel Kelemen, Balázs Tódor, Sándor Hodosi, Ákos Somfai |
Refactoring Technical Support to Reduce Interrupts of Developers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1510.04929, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
22 | Yanhong Huang, Jifeng He 0001, Huibiao Zhu, Yongxin Zhao, Jianqi Shi, Shengchao Qin |
Semantic theories of programs with nested interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Frontiers Comput. Sci. ![In: Frontiers Comput. Sci. 9(3), pp. 331-345, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 379 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ >>] |
|