The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for latching with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1998 (15) 2000-2004 (19) 2005-2006 (19) 2007-2008 (17) 2009-2014 (20) 2015-2020 (15) 2021-2024 (9)
Publication types (Num. hits)
article(34) incollection(2) inproceedings(78)
Venues (Conferences, Journals, ...)
ICRA(5) IEEE Trans. Very Large Scale I...(5) IROS(5) ISQED(4) NEMS(4) ICCAD(3) IEEE Trans. Comput. Aided Des....(3) ISCAS (5)(3) ASP-DAC(2) Cogn. Sci.(2) CoRR(2) DAC(2) DATE(2) DSN(2) ECC(2) ICCD(2) More (+10 of total 78)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 59 occurrences of 52 keywords

Results
Found 114 publication records. Showing 114 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
73Emilio Kropff, Alessandro Treves The complexity of latching transitions in large scale cortical networks. Search on Bibsonomy Nat. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
63Swarup Bhunia, Massood Tabib-Azar, Daniel G. Saab Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable instant-on system, ultralow-power reconfigurable computing, complementary nanoelectromechanical carbon nanotube switches, coplanar carbon nanotubes, low operation voltages, built-in energy storage, CNEMS, stable on-off state, latching mechanism, nonvolatile memory-mode operation, CMOS transistors, system development, leakage current
57José M. Quintana, Maria J. Avedillo, Héctor Pettenghi Self-latching operation limits for MOBILE circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Xiaoyue Wang, Xiuping Su Influence of Contact Circuit of Magnetic Latching Relay on Magnetic Latching Force. Search on Bibsonomy ICSAI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
41 Latching. Search on Bibsonomy Encyclopedia of Database Systems The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
41Alexander Spröwitz, Masoud Asadpour, Yvan Bourquin, Auke Jan Ijspeert An active connection mechanism for modular self-reconfigurable robotic systems based on physical latching. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41T. R. Mundon, Alan F. Murray, John Hallam, Leena N. Patel Causal Neural Control of a Latching Ocean Wave Point Absorber. Search on Bibsonomy ICANN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Smita Krishnaswamy, Igor L. Markov, John P. Hayes On the role of timing masking in reliable logic circuit design. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF timing, soft errors, SEUs
32Dimitrios Bountas, Georgios I. Stamoulis CARROT - A Tool for Fast and Accurate Soft Error Rate Estimation. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, combinational circuits, SER
32Sean A. Patterson, Kenneth A. Knowles Jr., Bradley E. Bishop Toward Magnetically-coupled Reconfigurable Modular Robots. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Srivathsan Krishnamohan, Nihar R. Mahapatra A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Sang-Dae Shin, Hun Choi, Bai-Sun Kong Variable sampling window flip-flop for low-power application. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Sang-Wook Kim, Wan Choi, Byoung-Ho Kim Design and Implementation of the Concurrency Control Manager in the Main-Memory DBMS Tachyon. Search on Bibsonomy COMPSAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF main-memory DBMSs, concurrency control, DBMSs, locking, latching
31C. Mohan 0001, Don Haderle, Bruce G. Lindsay 0001, Hamid Pirahesh, Peter M. Schwarz ARIES: A Transaction Recovery Method Supporting Fine-Granularity Locking and Partial Rollbacks Using Write-Ahead Logging. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF write-ahead logging, buffer management, locking, latching, space management
25Yu-jia Zang, Zhixuan Hou, Mingfeng Pan, Zitong Wang, Haolang Cai, Sai Yu, Yi Ren, Mingyang Zhao A Robotic Solution to Peg in/out Hole Tasks with Latching Requirements. Search on Bibsonomy IEEE Robotics Autom. Lett. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
25Eun Kyu Kim, Bo Min Kang, Hyo Geon Lee, Hyeong Min Yoon, Jae Hyun Kim, Jae Woo Jung, Jun Young Yoon Design and Control of PM-biased Bi-stable Latching Actuator for Low-power Micropump. Search on Bibsonomy AIM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Dave Y.-W. Lin, Charles H.-P. Wen Rad-Hard Designs by Automated Latching-Delay Assignment and Time-Borrowable D-Flip-Flop. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Fumihiro China, Naoki Takeuchi, Hideo Suzuki, Yuki Yamanashi, Hirotaka Terai, Nobuyuki Yoshikawa A High-Speed Interface Based on a Josephson Latching Driver for Adiabatic Quantum-Flux-Parametron Logic. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25David Fernández-Gutiérrez, Niklas Hagemann, Wei Wang 0078, Rens M. Doornbusch, Joshua Jordan, Jonathan Klein Schiphorst, Pietro Leoni, Fabio Duarte, Carlo Ratti, Daniela Rus Design of an Autonomous Latching System for Surface Vessels. Search on Bibsonomy ICRA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Kwang Il Ryom, Vezha Boboeva, Oleksandra Soldatkina, Alessandro Treves Latching dynamics as a basis for short-term recall. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
25Min-su Kim, Wonhyun Choi, Jong-Woo Kim, Chunghee Kim, Jae-Hyuk Oh, Bai-Sun Kong Dynamic Differential Flip-Flop without Explicit Output Latching Stage for High-Speed SoC. Search on Bibsonomy ICECS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
25Tongtong Cao, Tengjiang Hu, Yulong Zhao Design and Fabrication of A latching Silicon-based MEMS Switch. Search on Bibsonomy NEMS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
25Shenghong Lei, Yun Cao, Weirong Nie, Zhanwen Xi, Na Xu, Weixiang Qiu Research on Motion Characteristics for Latching Mechanism of MEMS Safety and Arming Device under Dual Environmental Forces. Search on Bibsonomy NEMS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
25Luis A. Mateos Bio-inspired Adaptive Latching System for Towing and Guiding Power-less Floating Platforms with Autonomous Robotic Boats. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
25Kaiwen Xue, Chongfeng Liu, Hengli Liu, Ruoyu Xu, Zhenglong Sun 0001, Tin Lun Lam, Huihuan Qian A Two-stage Automatic Latching System for The USVs Charging in Disturbed Berth. Search on Bibsonomy IROS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Yao Wang, Mengmeng Yao, Benqing Guo, Zhaolei Wu, Wenbing Fan, Juin Jei Liou A Low-Power High-Speed Dynamic Comparator With a Transconductance-Enhanced Latching Stage. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
25Luis A. Mateos, Wei Wang 0078, Banti Gheneti, Fabio Duarte, Carlo Ratti, Daniela Rus Autonomous Latching System for Robotic Boats. Search on Bibsonomy ICRA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
25Luis A. Mateos LaMMos - Latching Mechanism based on Motorized-screw for Reconfigurable Robots and Exoskeleton Suits. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
25Nadine Besse, Samuel Rosset, Juan Jose Zarate, Elisabetta Ferrari, Luca Giulio Brayda, Herbert Shea Understanding Graphics on a Scalable Latching Assistive Haptic Display Using a Shape Memory Polymer Membrane. Search on Bibsonomy IEEE Trans. Haptics The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Hongmao Tu, Wenzhong Lou, Zhili Sun, Yunpeng Qian Structural reliability simulation for the latching mechanism in MEMS-based Safety and Arming device. Search on Bibsonomy Adv. Eng. Softw. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Chol Jun Kang, Michelangelo Naim, Vezha Boboeva, Alessandro Treves Life on the Edge: Latching Dynamics in a Potts Neural Network. Search on Bibsonomy Entropy The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Megan E. Clarke, Lucy E. Dunne, Brad T. Holschuh Self-adjusting wearables: variable control through a shape-memory latching mechanism. Search on Bibsonomy UbiComp Adjunct The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Bijay Kumar Sharma Variable latching phenomenon in CE BJT and its impact. Search on Bibsonomy MWSCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Alexander Russomanno, M. Sile O'Modhrain, Mark Burns, R. Brent Gillespie Modeling latching fluidic circuits to determine clocking limits for a refreshable braille display. Search on Bibsonomy HAPTICS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25I-Chyn Wey, Chun-Wei Chang, Yu-Cheng Liao, Heng-Jui Chou Noise-tolerant dynamic CMOS circuits design by using true single-phase clock latching technique. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Sima Barzegar, Hadi Mirzajani, Habib Badri Ghavifekr A New Linearly Tunable RF MEMS Varactor with Latching Mechanism for Low Voltage and Low Power Reconfigurable Networks. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Aimy Wissa, Amy Kyungwon Han, Mark R. Cutkosky Wings of a Feather Stick Together: Morphing Wings with Barbule-Inspired Latching. Search on Bibsonomy Living Machines The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Ghaith Tarawneh, Alex Yakovlev, Terrence S. T. Mak Eliminating Synchronization Latency Using Sequenced Latching. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Itamar Lerner, Shlomo Bentin, Oren Shriki Integrating the Automatic and the Controlled: Strategies in Semantic Priming in an Attractor Network With Latching Dynamics. Search on Bibsonomy Cogn. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Hoda Pahlevanzadeh, Qiaoyan Yu A New Analytical Model of SET Latching Probability for Circuits Experiencing Single- or Multiple-Cycle Single-Event Transients. Search on Bibsonomy J. Electron. Test. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Emil Ivov, Hadriel Kaplan, Dan Wing Latching: Hosted NAT Traversal (HNT) for Media in Real-Time Communication. Search on Bibsonomy RFC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Nick Eckenstein, Mark Yim Design, principles, and testing of a latching modular robot connector. Search on Bibsonomy IROS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Hoda Pahlevanzadeh, Qiaoyan Yu Systematic analyses for latching probability of single-event transients. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Luis A. Mateos, Markus Vincze LaMMos - Latching mechanism based on motorized-screw for reconfigurable robots. Search on Bibsonomy ICAR The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Yinghai Lu, Hai Zhou 0001 Retiming for Soft Error Minimization Under Error-Latching Window Constraints. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Zhe Feng, Eric C. Kerrigan Latching control of wave energy converters using derivative-free optimization. Search on Bibsonomy CDC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Itamar Lerner, Shlomo Bentin, Oren Shriki Spreading Activation in an Attractor Network With Latching Dynamics: Automatic Semantic Priming Revisited. Search on Bibsonomy Cogn. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25 Notice of Violation of IEEE Publication PrinciplesDelayed Latching for Data Synchronization in GALS SOC. Search on Bibsonomy ICETET The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Philipp Rohlfshagen, Joanna Bryson Flexible Latching: A Biologically-Inspired Mechanism for Improving the Management of Homeostatic Goals. Search on Bibsonomy Cogn. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Yibo Wu, Guifu Ding, Congchun Zhang, Juang Wang, Shengping Mao, Hong Wang Design and implementation of a bistable microcantilever actuator for magnetostatic latching relay. Search on Bibsonomy Microelectron. J. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Shi Fu, Xuehua Tang, Yibo Wu Analysis of magnetic latching mechanism in the application of bi-stable MEMS switches. Search on Bibsonomy NEMS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Shengping Mao, Hong Wang, Yibo Wu, Jun Tang, Guifu Ding A latching bistable microswitch using dual-beam electrothermal actuation. Search on Bibsonomy NEMS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Nicolas Williams IPsec Channels: Connection Latching. Search on Bibsonomy RFC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Aurélien Babarit, Alain H. Clément Application of the optimal command method to the control of the SEAREV wave energy converter: A study on the influence of time constants on the efficiency of the latching control. Search on Bibsonomy ECC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25António F. de O. Falcão, Paulo A. P. Justino, João C. C. Henriques, José M. C. S. André Reactive versus latching phase control of a two-body heaving wave energy converter. Search on Bibsonomy ECC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Philipp Rohlfshagen, Joanna J. Bryson Improved Animal-Like Maintenance of Homeostatic Goals via Flexible Latching. Search on Bibsonomy AAAI Fall Symposium: Biologically Inspired Cognitive Architectures The full citation details ... 2008 DBLP  BibTeX  RDF
25Joseph F. Ryan 0002, Benton H. Calhoun Minimizing Offset for Latching Voltage-Mode Sense Amplifiers for Sub-Threshold Operation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sub-threshold Circuits, Sub-Vt, Sense-Amplifiers, Variation, Offset
25Mustafa Emre Karagozler, Jason Campbell, Gary K. Fedder, Seth Copen Goldstein, Michael Philetus Weller, Byung Woo Yoon Electrostatic latching for inter-module adhesion, power transfer, and communication in modular robots. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Maria J. Avedillo, José M. Quintana, Héctor Pettenghi Roldán Self-latching operation of MOBILE circuits using series-connection of RTDs and transistors. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Tanya Markow, Eugene K. Ressler, Jean R. S. Blair Catch that speeding turtle: latching onto fun graphics in CS1. Search on Bibsonomy SIGAda The full citation details ... 2006 DBLP  DOI  BibTeX  RDF AdaGraph, GtkAda, TurtleGraphics, Ada, CS1, graphics, logo, spider
25David I. Bergman, Bryan C. Waltrip A low-noise latching comparator probe for waveform sampling applications. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25H. B. Liu, Franck Chollet Optical Switch Based On Moving Polymer Waveguides And Self-Latching Structure. Search on Bibsonomy Int. J. Comput. Eng. Sci. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Nicholas van Bavel A 325 MHz 3.3 V 10-bit CMOS D/A converter core with novel latching driver circuit. Search on Bibsonomy CICC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25C. Mohan 0001 Commit_LSN: A Novel and Simple Method for Reducing Locking and Latching in Transaction Processing Systems. Search on Bibsonomy Performance of Concurrency Control Mechanisms in Centralized Database Systems The full citation details ... 1996 DBLP  BibTeX  RDF
25Koichi Murata, Taiichi Otsuji, Eiichi Sano, Masanobu Ohhata, Minoru Togashi, Masao Suzuki A novel high-speed latching operation flip-flop (HLO-FF) circuit and its application to a 19-Gb/s decision circuit using a 0.2-μm GaAs MESFET. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25Peter Lidén, Peter Dahlgren, Rolf Johansson 0002, Johan Karlsson On Latching Probability of Particle Induced Transients in Combinational Networks. Search on Bibsonomy FTCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Vibby Gottemukkala, Tobin J. Lehman Locking and Latching in a Memory-Resident Database System. Search on Bibsonomy VLDB The full citation details ... 1992 DBLP  BibTeX  RDF
25C. Mohan 0001 Commit_LSN: A Novel and Simple Method for Reducing Locking and Latching in Transaction Processing Systems. Search on Bibsonomy VLDB The full citation details ... 1990 DBLP  BibTeX  RDF
25Peter R. Cappello, Andrea S. LaPaugh, Kenneth Steiglitz Optimal choice of intermediate latching to maximize throughput in VLSI circuits. Search on Bibsonomy ICASSP The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
16Tuukka Haapasalo, Ibrahim Jaluta, Bernhard Seeger, Seppo Sippu, Eljas Soisalon-Soininen Transactions on the multiversion B+-tree. Search on Bibsonomy EDBT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Biwei Liu, Shuming Chen, Hu Xiao Analysis of Glitch Reconvergence in Combinational Logic SER Estimation. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reconvergence, SER esitmation, SET
16Stavros Harizopoulos, Daniel J. Abadi, Samuel Madden 0001, Michael Stonebraker OLTP through the looking glass, and what we found there. Search on Bibsonomy SIGMOD Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dbms architecture, main memory transaction processing, online transaction processing, oltp
16Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki Safe clocking register assignment in datapath synthesis. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Srivathsan Krishnamohan, Nihar R. Mahapatra Slack redistribution in pipelined circuits for enhanced soft-error rate reduction. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Matthew G. Stout, Kenneth P. Tumin Innovative Test Solutions for Pin-Limited Microcontrollers. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Freescale, Stout, Tumin, test, testing, DFT, scan, microcontroller, design-for-test, pins
16Sarah Bergbreiter, Kristofer S. J. Pister Design of an Autonomous Jumping Microrobot. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Brian T. Kirby, Burak Aksak, Jason Campbell, James F. Hoburg, Todd C. Mowry, Padmanabhan Pillai, Seth Copen Goldstein A modular robotic system using magnetic force effectors. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Paul J. White, Mark Yim Scalable modular self-reconfigurable robots using external actuation. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Alodeep Sanyal, Sandip Kundu On Derating Soft Error Probability Based on Strength Filtering. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF soft error rate, logic switching threshold voltage, Soft error, single event upset, single event transient
16Abhishek Tiwari 0002, Smruti R. Sarangi, Josep Torrellas ReCycle: : pipeline adaptation to tolerate process variation. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF pipeline, process variation, clock skew
16Rostislav (Reuven) Dobkin, Ran Ginosar, Christos P. Sotiriou High Rate Data Synchronization in GALS SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ming Zhang 0017, Naresh R. Shanbhag Soft-Error-Rate-Analysis (SERA) Methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Timothy Bourke, Arcot Sowmya A timing model for synchronous language implementations in simulink. Search on Bibsonomy EMSOFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF timed automata, synchronous languages, simulink
16Hossein Asadi 0001, Mehdi Baradaran Tahoori Soft error derating computation in sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Hieu Tat Nguyen, Qiang Ji, Arnold W. M. Smeulders Robust multi-target tracking using spatio-temporal context. Search on Bibsonomy CVPR (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Vivek Joshi, Rajeev R. Rao, David T. Blaauw, Dennis Sylvester Logic SER Reduction through Flipflop Redesign. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Reza M. Rad, Mohammad Tehranipoor A new hybrid FPGA with nanoscale clusters and CMOS routing. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable nanoscale devices, FPGA, molecular electronics
16Srivathsan Krishnamohan, Nihar R. Mahapatra Combining Error Masking and Error Detection Plus Recovery to Combat Soft Errors in Static CMOS Circuits. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Tongquan Wei, Kaijie Wu 0001, Ramesh Karri, Alex Orailoglu Fault tolerant quantum cellular array (QCA) design using Triple Modular Redundancy with shifted operands. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Myung-Keun Kim, Sang Hun Eo, Seokkyu Jang, Jae-dong Lee, Hae-Young Bae Design and Implementation of the Modified R-Tree Structure with Non-blocking Querying. Search on Bibsonomy WAIM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Mohammad Alisafaee, Safar Hatami, Ehsan Atoofian, Zainalabedin Navabi, Ali Afzali-Kusha A low-power scan-path architecture. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Srivathsan Krishnamohan, Nihar R. Mahapatra Analysis and design of soft-error hardened latches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple-upset, single-event, soft errors, single-event upset, latch, radiation hardening
16Myung-Keun Kim, Hae-Young Bae Improved Concurrency Control Technique with Lock-Free Querying for Multi-dimensional Index Structure. Search on Bibsonomy AIRS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Andruid Kerne, Eunyee Koh, Vikram Sundaram, J. Michael Mistrot Generative semantic clustering in spatial hypertext. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2005 DBLP  DOI  BibTeX  RDF generative hypermedia, clustering, collections, spatial hypertext, mixed-initiatives, document layout, information triage
16Pallav Gupta, Niraj K. Jha An Algorithm for Nano-Pipelining of Circuits and Architectures for a Nanotechnology. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jinmiao Chen, Narendra S. Chaudhari Improvement of Bidirectional Recurrent Neural Network for Learning Long-Term Dependencies. Search on Bibsonomy ICPR (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jinmiao Chen, Narendra S. Chaudhari Learning Long-Term Dependencies in Segmented Memory Recurrent Neural Networks. Search on Bibsonomy ISNN (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ming Zhang 0017, Naresh R. Shanbhag A soft error rate analysis (SERA) methodology. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16John H. Reif The design of autonomous DNA nano-mechanical devices: Walking and rolling DNA. Search on Bibsonomy Nat. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF molecular, motor nanomechanical device, nanostructure, DNA
16Eric Rice, Richard Hughey A New Iterative Structure for Hardware Division: The Parallel Paths Algorithm. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hardware division, linear convergence, Computer arithmetic, prescaling
Displaying result #1 - #100 of 114 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license