The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase loop-unrolling (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1996 (18) 1997-1999 (18) 2000-2002 (20) 2003-2004 (29) 2005-2006 (27) 2007-2008 (22) 2009-2010 (15) 2011-2015 (15) 2016-2019 (16) 2020-2024 (7)
Publication types (Num. hits)
article(33) inproceedings(154)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 213 occurrences of 148 keywords

Results
Found 187 publication records. Showing 187 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
206Jack W. Davidson, Sanjay Jinturkar Aggressive Loop Unrolling in a Retargetable Optimizing Compiler. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Code improving transformations, Compiler optimizations, Loop transformations, Loop unrolling
136Mounira Bachir, Sid Ahmed Ali Touati, Albert Cohen 0001 Post-pass periodic register allocation to minimise loop unrolling degree. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded code optimisation, periodic register allocation, software pipelining, loop unrolling
113Litong Song, Krishna M. Kavi What can we gain by unfolding loops? Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2004 DBLP  DOI  BibTeX  RDF loop peeling, loop quasi invariant code motion, quasi-index variable, quasi-invariant variable, loop unrolling
112Betul Buyukkurt, Zhi Guo, Walid A. Najjar Impact of Loop Unrolling on Area, Throughput and Clock Frequency in ROCCC: C to VHDL Compiler for FPGAs. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
100Srikanth Kurra, Neeraj Kumar Singh 0004, Preeti Ranjan Panda The impact of loop unrolling on controller delay in high level synthesis. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
94Akira Koseki, Hideaki Komatsu, Yoshiaki Fukazawa A method for estimating optimal unrolling times for nested loops. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF reuse of data, benchmark tests, parallelization, parallelism, heuristic algorithm, heuristic programming, nested loops, loop unrolling
91Frank Hannig, Hritam Dutta, Jürgen Teich Parallelization Approaches for Hardware Accelerators - Loop Unrolling Versus Loop Partitioning. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
83Gayathri Krishnamurthy, Elana D. Granston, Eric Stotzer Affinity-based cluster assignment for unrolled loops. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF affinity-based clustering (ABC) algorithms, homogeneous clusters, partitioned register files, software pipelining, loop optimizations, loop scheduling, VLIW architectures, loop unrolling, cluster assignment
81Litong Song, Krishna M. Kavi, Ron Cytron An Unfolding-Based Loop Optimization Technique. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
80Ozana Silvia Dragomir, Todor P. Stefanov, Koen Bertels Loop unrolling and shifting for reconfigurable architectures. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
79Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor A comparative evaluation of software techniques to hide memory latency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF comparative software evaluation, software oriented techniques, superscalar machines, superpipelined machines, software cache prefetching, data fetch request, software controlled prefetching, aggressive prefetching, memory bandwidth requirements, bus traffic, performance, parallel machines, program compilers, processor scheduling, software performance evaluation, software pipelining, pipeline processing, microarchitecture, cache storage, instruction set architecture, memory latency, loop unrolling, static scheduling, conditional branches
78Michael E. Wolf, Dror E. Maydan, Ding-Kai Chen Combining Loop Transformations Considering Caches and Scheduling. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF cache tiling, fission, loop interchange, outer loop unrolling, fusion, instruction scheduling
72João M. P. Cardoso, Pedro C. Diniz Modeling Loop Unrolling: Approaches and Open Issues. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
66Byoungro So, Mary W. Hall, Pedro C. Diniz A Compiler Approach to Fast Hardware Design Space Exploration in FPGA-based Systems. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reuse analysis, design space exploration, loop transformations, data dependence analysis
63Ken Naono, Toshiyuki Imamura An Evaluation Towards Automatically Tuned Eigensolvers. Search on Bibsonomy LSSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
62Shlomo Weiss, James E. Smith 0001 A Study of Scalar Compilation Techniques for Pipelined Supercomputers. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
59Sarah Thompson, Alan Mycroft Bit-level partial evaluation of synchronous circuits. Search on Bibsonomy PEPM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF partial evaluation, loop unrolling, synchronous circuits
59Hojin Kee, Newton Petersen, Jacob Kornerup, Shuvra S. Bhattacharyya Systematic generation of FPGA-based FFT implementations. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
53F. Jesús Sánchez, Antonio González 0001 The Effectiveness of Loop Unrolling for Modulo Scheduling in Clustered VLIW Architectures. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51Ganesh Lakshminarayana, Kamal S. Khouri, Niraj K. Jha Wavesched: a novel scheduling technique for control-flow intensive behavioral descriptions. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF control-flow intensive, scheduling, parallelism, pipelining, loop unrolling
51Doosan Cho, Ravi Ayyagari, Gang-Ryung Uh, Yunheung Paek Instruction Re-selection for Iterative Modulo Scheduling on High Performance Multi-issue DSPs. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51François Bodin, François Charot Loop optimization for horizontal microcoded machines. Search on Bibsonomy ICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
48Michel J. Daydé, Iain S. Duff The RISC BLAS: a blocked implementation of level 3 BLAS for RISC processors. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF matrix-matrix kernels, blocking, loop-unrolling, level 3 BLAS, RISC processors
48Seongbae Park, SangMin Shim, Soo-Mook Moon Evaluation of Scheduling Techniques on a SPARC-based VLIW Testbed. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SPARC-based VLIW testbed, VLIW microprocessors, Very Long Instruction Word microprocessors, all-path speculation, gcc-generated optimized SPARC code, high-performance VLIW code, nongreedy enhanced pipeline scheduling, nonspeculative operations, profile-based all-path speculation, restricted speculative loads, scheduling compiler, speculative operations, trace-based speculation, performance, compiler, computer architecture, parallel machines, software pipelining, loop unrolling, renaming, memory disambiguation, copies, scheduling techniques
47Gennette Gill, John Hansen, Montek Singh Loop pipelining for high-throughput stream computation using self-timed rings. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Antoine Monsifrot, François Bodin, Rene Quiniou A Machine Learning Approach to Automatic Production of Compiler Heuristics. Search on Bibsonomy AIMSA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Jae-Jin Lee, Gi-Yong Song High-Level Synthesis Using SPARK and Systolic Array. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Pascal Benoit, Lionel Torres, Gilles Sassatelli, Michel Robert, Gaston Cambon Automatic Task Scheduling / Loop Unrolling using Dedicated RTR Controllers in Coarse Grain Reconfigurable Architectures. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Sumit Gupta, Nikil D. Dutt, Rajesh Gupta 0001, Alexandru Nicolau Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Monica Magalhães Pereira, Sílvio R. F. de Araújo, Bruno Cruz de Oliveira, Ivan Saraiva Silva Using traditional loop unrolling to fit application on a new hybrid reconfigurable architecture. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF stream-based, optimization, performance, reconfigurable architecture
39Bertrand A. Maher, Aaron Smith, Doug Burger, Kathryn S. McKinley Merging Head and Tail Duplication for Convergent Hyperblock Formation. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Mark Stephenson, Saman P. Amarasinghe Predicting Unroll Factors Using Supervised Classification. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Yi Qian, Steve Carr 0001, Philip H. Sweany Optimizing Loop Performance for Clustered VLIW Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Sylvain Lelait, Guang R. Gao, Christine Eisenbeis A New Fast Algorithm for Optimal Register Allocation in Modulo Scheduled Loops. Search on Bibsonomy CC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
35Catherine H. Gebotys, Robert J. Gebotys Power Minimization in Heterogeneous Processing. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
32Narasinga Rao Miniskar, Pankaj Shailendra Gode, Soma Kohli, Donghoon Yoo Function inlining and loop unrolling for loop acceleration in reconfigurable processors. Search on Bibsonomy CASES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
32Paul Lokuciejewski, Peter Marwedel Combining Worst-Case Timing Models, Loop Unrolling, and Static Loop Analysis for WCET Minimization. Search on Bibsonomy ECRTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF WCET minimization, WCET-driven optimizations, High-Level Optimizations, compiler, WCET
32Litong Song, Yuhua Zhang, Krishna M. Kavi Loop Transformation Techniques To Aid In Loop Unrolling and Multithreading. Search on Bibsonomy PDCS The full citation details ... 2003 DBLP  BibTeX  RDF
32Like Yan, Gang Wang, Tianzhou Chen The input-aware dynamic adaptation of area and performance for reconfigurable accelerator. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic adaption, reconfigurable system, loop unrolling, loop accelerator
32Nachiket Kapre, André DeHon Accelerating SPICE Model-Evaluation using FPGAs. Search on Bibsonomy FCCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Analog Circuit Simulator, VLIW Scheduling, Floating-Point, Spice, Loop Unrolling, Spatial Computation
32Peter Gottschling, Andrew Lumsdaine Integrating semantics and compilation: using c++ concepts to develop robust and efficient reusable libraries. Search on Bibsonomy GPCE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF semantic verification, optimization, c++, concepts, loop unrolling, semantic properties
32Jeremy R. Johnson, Werner Krandick, Anatole D. Ruslanov Architecture-aware classical Taylor shift by 1. Search on Bibsonomy ISSAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ILP scheduling, Taylor shift, delayed carry propagation, multiprecision arithmetic, register tiling, high-performance computing, code generation, memory hierarchy, polynomials, performance tuning, loop unrolling
32Mikio Takeuchi, Hideaki Komatsu, Toshio Nakatani A new speculation technique to optimize floating-point performance while preserving bit-by-bit reproducibility. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bit-by-bit reproducibility, floating-point speculation, fused multiply-add, reassociation, striding, Java, prefetching, accuracy, instruction-level parallelism, software pipelining, just-in-time compiler, loop unrolling, privatization, IA-64, IEEE 754
32Daniel M. Lavery, Wen-mei W. Hwu Unrolling-based optimizations for modulo scheduling. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimization, instruction-level parallelism, software pipelining, modulo scheduling, loop unrolling
31Yosi Ben-Asher, Nadav Rotem The effect of unrolling and inlining for Python bytecode optimizations. Search on Bibsonomy SYSTOR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimizations, Python, bytecode, dynamic languages
31JongSoo Park, Sung-Boem Park, James D. Balfour, David Black-Schaffer, Christos Kozyrakis, William J. Dally Register pointer architecture for efficient embedded processors. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Yanwei Niu, Ziang Hu, Kenneth E. Barner, Guang R. Gao Performance Modelling and Optimization of Memory Access on Cellular Computer Architecture Cyclops64. Search on Bibsonomy NPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Ganesh Lakshminarayana, Niraj K. Jha FACT: a framework for applying throughput and power optimizing transformations to control-flow-intensive behavioral descriptions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Ganesh Lakshminarayana, Niraj K. Jha FACT: A Framework for the Application of Throughput and Power Optimizing Transformations to Control-Flow Intensive Behavioral Descriptions. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level synthesis, telecommunication
31Min Zhao 0009, Bruce R. Childers, Mary Lou Soffa Predicting the impact of optimizations for embedded systems. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF code models, embedded systems, prediction, optimizing compilers, loop optimizations, resource models, optimization models
31Gang-Ryung Uh, Yuhong Wang, David B. Whalley, Sanjay Jinturkar, Chris Burns, Vincent Cao Techniques for Effectively Exploiting a Zero Overhead Loop Buffer. Search on Bibsonomy CC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Gang-Ryung Uh, Yuhong Wang, David B. Whalley, Sanjay Jinturkar, Chris Burns, Vincent Cao Effective Exploitation of a Zero Overhead Loop Buffer. Search on Bibsonomy Workshop on Languages, Compilers, and Tools for Embedded Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Suhyun Kim, Soo-Mook Moon Rotating Register Allocation for Enhanced Pipeline Scheduling. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Nahid Emad, Olfa Hamdi-Larbi, Z. Mahjoub On sparse matrix-vector product optimization. Search on Bibsonomy AICCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27F. Jesús Sánchez, Antonio González 0001 Instruction Scheduling for Clustered VLIW Architectures. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Sudipta Kundu, Zachary Tatlock, Sorin Lerner Proving optimizations correct using parameterized program equivalence. Search on Bibsonomy PLDI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler optimization, correctness, translation validation
27Joonseok Park, Pedro C. Diniz Partial Data Reuse for Windowing Computations: Performance Modeling for FPGA Implementations. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scalar replacement, loop splitting, loop interchange, Field Programmable Gate Arrays (FPGA), Reconfigurable Computing, data reuse
26Bertrand Meyer 0001 Loop unrolling (for test coverage): formal definition. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Alnis Murtovi, Giorgis Georgakoudis, Konstantinos Parasyris, Chunhua Liao, Ignacio Laguna, Bernhard Steffen Enhancing Performance Through Control-Flow Unmerging and Loop Unrolling on GPUs. Search on Bibsonomy CGO The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Francisco J. Soulignac, Pablo Terlisky Loop unrolling of UCA models: distance labeling. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
26Ruiki Kobayashi, Shogo Muramatsu, Shunsuke Ono Proximal Gradient-Based Loop Unrolling with Interscale Thresholding. Search on Bibsonomy APSIPA ASC The full citation details ... 2021 DBLP  BibTeX  RDF
26G. Georgiou, Georgios Theodoridis Studying the impacts of loop unrolling and pipeline in the FPGA design of the Simon and RoadRunneR lightweght ciphers. Search on Bibsonomy MOCAST The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Xiaowei Guo, Chao Li, Wei Li, Yu Cao, Yi Liu, Ran Zhao, Sen Zhang, Canqun Yang Improving performance for simulating complex fluids on massively parallel computers by component loop-unrolling and communication hiding. Search on Bibsonomy HPCC/DSS/SmartCity The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Rodrigo C. O. Rocha, Vasileios Porpodas, Pavlos Petoumenos, Luís F. W. Góes, Zheng Wang 0001, Murray Cole, Hugh Leather Vectorization-aware loop unrolling with seed forwarding. Search on Bibsonomy CC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Naveen Kumar Dumpala, Shivukumar B. Patil, Daniel E. Holcomb, Russell Tessier Loop Unrolling for Energy Efficiency in Low-Cost Field-Programmable Gate Arrays. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Asma Balamane, Zina Taklit Using Deep Neural Networks for Estimating Loop Unrolling Factor. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
26Scott Young, Alexandrea Demmings, Nasrin Eshraghi Ivari, Jean-Philippe Legault, Kenneth B. Kent Verilog Loop Unrolling, Module Generation, Part-Select and Arithmetic Right Shift Support in Odin II. Search on Bibsonomy RSP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Marcelino Rodriguez-Cancio, Benoît Combemale, Benoit Baudry Approximate loop unrolling. Search on Bibsonomy CF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Qiyuan Liu 0001, Alexander Edward, Dadian Zhou, José Silva-Martínez A Continuous-Time MASH 1-1-1 Delta-Sigma Modulator With FIR DAC and Encoder-Embedded Loop-Unrolling Quantizer in 40-nm CMOS. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26David Leopoldseder, Roland Schatz, Lukas Stadler, Manuel Rigger, Thomas Würthinger, Hanspeter Mössenböck Fast-path loop unrolling of non-counted loops to enable subsequent compiler optimizations. Search on Bibsonomy ManLang The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Lukas Johannes Jung, Christian Hochberger Lookahead Memory Prefetching for CGRAs Using Partial Loop Unrolling. Search on Bibsonomy ARC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Karim Soliman, Marwa El Shenawy, Ahmed Abou El Farag Loop unrolling effect on parallel code optimization. Search on Bibsonomy ICFNDS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Georgios Zacharopoulos, Andrea Barbon, Giovanni Ansaloni, Laura Pozzi Machine Learning Approach for Loop Unrolling Factor Prediction in High Level Synthesis. Search on Bibsonomy HPCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Kunal Banerjee 0001, Ramanuj Chouksey, Chandan Karfa, Pankaj Kumar Kalita Automatic detection of inverse operations while avoiding loop unrolling. Search on Bibsonomy ICSE (Companion Volume) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Yi-Xuan Lu, Jih-Ching Chiu, Shu-Jung Chao, Yong-Bin Ye Design of Instruction Analyzer with Semantic-Based Loop Unrolling Mechanism in the Hyperscalar Architecture. Search on Bibsonomy ICS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Anirban Sengupta, Saumya Bhadauria, Saraju P. Mohanty TL-HLS: Methodology for Low Cost Hardware Trojan Security Aware Scheduling With Optimal Loop Unrolling Factor During High Level Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Naveen Kumar Dumpala, Shivukumar B. Patil, Daniel E. Holcomb, Russell Tessier Energy Efficient Loop Unrolling for Low-Cost FPGAs. Search on Bibsonomy FCCM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Wei Gao 0011, Rongcai Zhao, Haining Yu, Qinghua Zhang 循环展开技术在向量程序中的应用 (Loop Unrolling in Vectorized Programs). Search on Bibsonomy 计算机科学 The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26David Sanchez-Charles, Marc Solé, Josep Carmona 0001, Victor Muntés-Mulero Improving Process Model Precision by Loop Unrolling. Search on Bibsonomy SIMPDA The full citation details ... 2016 DBLP  BibTeX  RDF
26Lukasz Domagala, Duco van Amstel, Fabrice Rastello, P. Sadayappan Register allocation and promotion through combined instruction scheduling and loop unrolling. Search on Bibsonomy CC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Sumanta Pyne, Ajit Pal Runtime Leakage Power Reduction Using Loop Unrolling and Fine Grained Power Gating. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Sumanta Pyne, Ajit Pal Energy Efficient Array Computations Using Loop Unrolling with Partial Gray Code Sequence. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Alessandro Cilardo, Luca Gallo Interplay of loop unrolling and multidimensional memory partitioning in HLS. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
26Pallabi Sarkar, Anirban Sengupta, Mrinal Kanti Naskar GA driven integrated exploration of loop unrolling factor and datapath for optimal scheduling of CDFGs during high level synthesis. Search on Bibsonomy CCECE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Rajitha Navarathna, Swarnalatha Radhakrishnan, Roshan G. Ragel Loop Unrolling in Multi-pipeline ASIP Design. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
26Anirban Sengupta, Vipul Kumar Mishra Swarm Intelligence Driven Simultaneous Adaptive Exploration of Datapath and Loop Unrolling Factor during Area-Performance Tradeoff. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Goran Velkoski, Marjan Gusev, Sasko Ristov The performance impact analysis of loop unrolling. Search on Bibsonomy MIPRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Jiasen Huang, Junyan Ren, Jun Xu, Yuanyuan Wang 0001 General expression based inner loop unrolling scheme for TV-GD algorithm adopted in photoacoustic imaging. Search on Bibsonomy BioCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Sumanta Pyne, Ajit Pal Loop unrolling with fine grained power gating for runtime leakage power reduction. Search on Bibsonomy VDAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Meisam Booshehri, Abbas Malekpour, Peter Luksch 0001 An Improving Method for Loop Unrolling. Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
26Sumanta Pyne, Ajit Pal Energy Efficient Array Initialization Using Loop Unrolling with Partial Gray Code Sequence. Search on Bibsonomy VDAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Johann Steinbrecher, Weijia Shang On Optimizing the Longest Common Subsequence Problem by Loop Unrolling Along Wavefronts. Search on Bibsonomy PDP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Mounira Bachir, Frederic Brault, Sid Ahmed Ali Touati, Albert Cohen 0001 Loop unrolling minimisation in the presence of multiple register types: A viable alternative to modulo variable expansion. Search on Bibsonomy HPCS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Vladimír Guzma, Teemu Pitkänen, Jarmo Takala Effects of loop unrolling and use of instruction buffer on processor energy consumption. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Yosi Ben-Asher, Jawad Haj-Yihia Computing the correct Increment of Induction Pointers with application to loop unrolling. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Giridhar Sreenivasa Murthy, Mahesh Ravishankar, Muthu Manikandan Baskaran, Ponnuswamy Sadayappan Optimal loop unrolling for GPGPU programs. Search on Bibsonomy IPDPS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Ozana Silvia Dragomir, Todor P. Stefanov, Koen Bertels Optimal Loop Unrolling and Shifting for Reconfigurable Architectures. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Mounira Bachir, David Gregg, Sid Ahmed Ali Touati Using the Meeting Graph Framework to Minimise Kernel Loop Unrolling for Scheduled Loops. Search on Bibsonomy LCPC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Nicholas Nethercote, Doug Burger, Kathryn S. McKinley Convergent Compilation Applied to Loop Unrolling. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Karine Heydemann, François Bodin, Peter M. W. Knijnenburg, Laurent Morin UFS: a global trade-off strategy for loop unrolling for VLIW architectures. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 187 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license