The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for misprediction with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-1999 (22) 2000-2001 (18) 2002-2003 (23) 2004-2005 (29) 2006-2007 (27) 2008-2009 (19) 2010-2023 (13)
Publication types (Num. hits)
article(25) inproceedings(125) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 151 occurrences of 101 keywords

Results
Found 151 publication records. Showing 151 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
120Amit Golander, Shlomo Weiss Hiding the misprediction penalty of a resource-efficient high-performance processor. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF misprediction, Checkpoints, out-of-order execution, scalable architecture, rollback
120Peng Zhou, Soner Önder, Steve Carr 0001 Fast branch misprediction recovery in out-of-order superscalar processors. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor state, checkpoint, recovery, branch misprediction
105James O. Bondi, Ashwini K. Nanda, Simonjit Dutta Integrating a Misprediction Recovery Cache (MRC) into a Superscalar Pipeline. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF branch target buffer technology, deep pipelines, misprediction recovery cache integration, performance loss, residual misprediction penalty, superscalar pipeline, microprocessor chips, microprocessor designs, CISC, multiple instructions
95Enrique F. Torres, Pablo Ibáñez, Víctor Viñals, José María Llabería Counteracting Bank Misprediction in Sliced First-Level Caches. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
92Ahmed S. Al-Zawawi, Vimal K. Reddy, Eric Rotenberg, Haitham Akkary Transparent control independence (TCI). Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF control independence, selective re-execution, selective recovery, checkpoints, branch prediction, speculation
81Amit Gandhi, Haitham Akkary, Srikanth T. Srinivasan Reducing Branch Misprediction Penalty via Selective Branch Recovery. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
78Kenneth A. Ross Selection conditions in main memory. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Branch misprediction
68Chang-Ching Yeh, Kuei-Chung Chang, Tien-Fu Chen, Chingwei Yeh Reducing Branch Misprediction Penalties Via Adaptive Pipeline Scaling. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
68Ching-Long Su, Alvin M. Despain Minimizing branch misprediction penalties for superpipelined processors. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
65Juan L. Aragón, José González 0002, Antonio González 0001, James E. Smith 0001 Dual path instruction processing. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF branch misprediction penalty, dual path processing, pre-scheduling, confidence estimation
55Resit Sendag, Joshua J. Yi, Peng-fei Chuang Branch Misprediction Prediction: Complementary Branch Predictors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
55David N. Armstrong, Hyesoon Kim, Onur Mutlu, Yale N. Patt Wrong Path Events: Exploiting Unusual and Illegal Program Behavior for Early Misprediction Detection and Recovery. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Enric Morancho, José María Llabería, Àngel Olivé Recovery Mechanism for Latency Misprediction. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
53Mohamed M. Zahran, Manoj Franklin Dynamic Thread Resizing for Speculative Multithreaded Processors. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
53Jared Stark, Marius Evers, Yale N. Patt Variable Length Path Branch Prediction. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
42Srinivas Mantripragada, Alexandru Nicolau Using profiling to reduce branch misprediction costs on a dynamically scheduled processor. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
40Nitya Ranganathan, Doug Burger, Stephen W. Keckler Analysis of the TRIPS prototype block predictor. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Kenneth A. Ross Conjunctive Selection Conditions in Main Memory. (PDF / PS) Search on Bibsonomy PODS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Daniel A. Jiménez, Heather L. Hanson, Calvin Lin Boolean Formula-Based Branch Prediction for Future Technologies. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Juan L. Aragón, José González 0002, José M. García 0001, Antonio González 0001 Confidence Estimation for Branch Prediction Reversal. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Nicholas Nethercote, Alan Mycroft The cache behaviour of large lazy functional programs on stock hardware. Search on Bibsonomy MSP/ISMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Glasgow Haskell Compiler, cache measurement, Haskell, Haskell, cache simulation, hardware counters, branch misprediction
29Adrus Mohamad Tazuddin, Azizi Abdullah, Zainal Rasyid Mahayuddin Hierarchical CNN Automated Hierarchy Creation Using Output Prediction Analysis With Misprediction Matrix. Search on Bibsonomy ICEEI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Jiri Gesi, Xinyun Shen, Yunfan Geng, Qihong Chen, Iftekhar Ahmed 0001 Leveraging Feature Bias for Scalable Misprediction Explanation of Machine Learning Models. Search on Bibsonomy ICSE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Tanvir Ahmed Khan, Muhammed Ugur, Krishnendra Nathella, Dam Sunwoo, Heiner Litz, Daniel A. Jiménez, Baris Kasikci Whisper: Profile-Guided Branch Misprediction Elimination for Data Center Applications. Search on Bibsonomy MICRO The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
29Michael Mitzenmacher Scheduling with Predictions and the Price of Misprediction. Search on Bibsonomy ITCS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
29Lu Yang, Yunhui Huang, Yi-Chun (Chad) Ho, Zhijie Lin Is online multiple-stores cooperative promotion better than single-store promotion? Misprediction from evaluation mode. Search on Bibsonomy Inf. Manag. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Michael Mitzenmacher Scheduling with Predictions and the Price of Misprediction. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
29Wolfgang Puffitsch Persistence-based branch misprediction bounds for WCET analysis. Search on Bibsonomy SAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Zhaoxiang Jin, Görkem Asilioglu, Soner Önder Mower: A New Design for Non-blocking Misprediction Recovery. Search on Bibsonomy ICS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Divino Cesar S. Lucas, Rafael Auler, Rafael Dalibera, Sandro Rigo, Edson Borin, Guido Araujo Modeling virtual machines misprediction overhead. Search on Bibsonomy IISWC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Huatao Zhao, Jiongyao Ye, Yuxin Sun, Takahiro Watanabe Pseudo Dual Path Processing to reduce the branch misprediction penalty in embedded processors. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Jiongyao Ye, Yu Wan 0002, Takahiro Watanabe A New Recovery Mechanism in Superscalar Microprocessors by Recovering Critical Misprediction. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
29Jaime Ruiz, Edward Lank Speeding pointing in tiled widgets: understanding the effects of target expansion and misprediction. Search on Bibsonomy IUI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF target expansion, tiled targets, Fitts' law, pointing, human performance
29Guan-Ying Chiu, Hui-Chin Yang, Walter Yuan-Hwa Li, Chung-Ping Chung Mechanism for return stack and branch history corrections under misprediction in deep pipeline design. Search on Bibsonomy ACSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Stijn Eyerman, James E. Smith 0001, Lieven Eeckhout Characterizing the branch misprediction penalty. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Yuan C. Chou, Jason Fung, John Paul Shen Reducing branch misprediction penalties via dynamic control independence detection. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Ashwini K. Nanda, James O. Bondi, Simonjit Dutta The Misprediction Recovery Cache. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Milena Petrovic, Igor Tartalja, Veljko M. Milutinovic Two Branch Predictor Schemes for Reduction of Misprediction Rate in Conditions of Frequent Context Switches. Search on Bibsonomy SRDS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29James E. Pierce Cache behavior in the presence of speculative execution: The benefits of misprediction. Search on Bibsonomy 1995   RDF
26Gabriel H. Loh, Daniel A. Jiménez Modulo Path History for the Reduction of Pipeline Overheads in Path-based Neural Branch Predictors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Computer architecture, Branch prediction
26Sung Woo Chung, Kevin Skadron On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-power design, Microprocessors, Cache memories, Energy-aware systems
26Hans Vandierendonck, André Seznec Speculative return address stack management revisited. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Return address prediction, back-up predictor, corruption detection
26Tao Li 0006, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio 0001 OS-Aware Branch Prediction: Improving Microprocessor Control Flow Prediction for Operating Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF branch prediction, processor architectures, Pipeline processors, performance of systems, hardware/software interfaces, computer system implementation
26C. Y. Ho, Anthony Shi-Sheung Fong Combining Local and Global History Hashing in Perceptron Branch Prediction. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jiajin Tu, Jian Chen 0030, Lizy K. John Hardware Efficient Piecewise Linear Branch Predictor. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Sung Woo Chung, Kevin Skadron Using Branch Prediction Information for Near-Optimal I-Cache Leakage. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low Power, Branch Prediction, Leakage, Instruction Cache, Drowsy Cache
26Daniel A. Jiménez, Gabriel H. Loh Controlling the Power and Area of Neural Branch Predictors for Practical Implementation in High-Performance Processors. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Smruti R. Sarangi, Wei Liu, Yuanyuan Zhou ReSlice: Selective Re-Execution of Long-Retired Misspeculated Instructions Using Forward Slicing. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Matteo Monchiero, Gianluca Palermo The Combined Perceptron Branch Predictor. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Alex Pajuelo, Antonio González 0001, Mateo Valero Control-Flow Independence Reuse via Dynamic Vectorization. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Yuanyuan Zhang, Yasushi Inoguchi Influence of Performance Prediction Inaccuracy on Task Scheduling in Grid Environment. Search on Bibsonomy APWeb The full citation details ... 2005 DBLP  DOI  BibTeX  RDF task selection, processor selection, grid computing, performance prediction, task scheduling
26Zhigang Hu, Alper Buyuktosunoglu, Viji Srinivasan, Victor V. Zyuban, Hans M. Jacobson, Pradip Bose Microarchitectural techniques for power gating of execution units. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF execution units, low power, microarchitecture, power-gating
26Chao-ying Fu, Jill T. Bodine, Thomas M. Conte Modeling Value Speculation: An Optimal Edge Selection Problem. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimal edge selection, critical path reduction, Value prediction, data dependence graph, value speculation
26Haitham Akkary, Srikanth T. Srinivasan, Konrad Lai Recycling waste: exploiting wrong-path execution to improve branch prediction. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF deep pipelines, branch prediction, instruction reuse
26Weihaw Chuang, Brad Calder Predicate prediction for efficient out-of-order execution. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF predicate prediction, predicated execution
26Timothy Sherwood, Erez Perelman, Brad Calder Basic Block Distribution Analysis to Find Periodic Behavior and Simulation Points in Applications. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Aneesh Aggarwal, Manoj Franklin Putting Data Value Predictors to Work in Fine-Grain Parallel Processors. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Yul Chu, Mabo Robert Ito An Efficient Indirect Branch Predictor. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Jayanth Gummaraju, Manoj Franklin Branch Prediction in Multi-Threaded Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Jan Hoogerbrugge Dynamic Branch Prediction for a VLIW Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Steven Wallace, Brad Calder, Dean M. Tullsen Threaded Multiple Path Execution. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Po-Yung Chang, Eric Hao, Yale N. Patt Target Prediction for Indirect Jumps. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Amit Golander, Shlomo Weiss Checkpoint allocation and release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF early register release, misprediction, Checkpoint, leakage, out-of-order execution, rollback
25Andrew D. Hilton, Amir Roth Ginger: control independence using tag rewriting. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF control independence, out-of-order renaming, selective re-dispatch, branch misprediction
25Patrick Akl, Andreas Moshovos BranchTap: improving performance with very few checkpoints through adaptive speculation control. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF speculation control, state checkpointing, state recovery, branch misprediction
13Muawya Al-Otoom, Elliott Forbes, Eric Rotenberg EXACT: explicit dynamic-branch prediction with active updates. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF branch prediction, microarchitecture, superscalar processors
13Amit Golander, Shlomo Weiss Reexecution and Selective Reuse in Checkpoint Processors. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Daniel A. Jiménez Generalizing neural branch prediction. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF machine learning, Branch prediction
13Uwe Brinkschulte, Daniel Lohn, Mathias Pacher Towards a Statistical Model of a Microprocessor's Throughput by Analyzing Pipeline Stalls. Search on Bibsonomy SEUS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Pranav Vaidya, Jaehwan John Lee Characterization of TPC-H queries for a column-oriented database on a dual-core amd athlon processor. Search on Bibsonomy CIKM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF column-oriented databases, monetdb, tpc-h, performance profiling
13Michael Ferdman, Thomas F. Wenisch, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos Temporal instruction fetch streaming. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Kshitiz Malik, Mayank Agarwal, Sam S. Stone, Kevin M. Woley, Matthew I. Frank Branch-mispredict level parallelism (BLP) for control independence. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Hongliang Gao, Yi Ma, Martin Dimitrov, Huiyang Zhou Address-branch correlation: A novel locality for long-latency hard-to-predict branches. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Anthony S. Fong, C. Y. Ho Global/Local Hashed Perceptron Branch Prediction. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF neural networks, hashing, branch prediction, perceptrons
13Resit Sendag, Joshua J. Yi, Peng-fei Chuang, David J. Lilja Low power/area branch prediction using complementary branch predictors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Sounil Biswas, R. D. (Shawn) Blanton Test Compaction for Mixed-Signal Circuits Using Pass-Fail Test Data. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pass-fail test data, boolean minimization, minimum constrained subset cover, Mixed-signal test, test compaction
13Eui-Young Chung, Cheol Hong Kim, Sung Woo Chung An Accurate and Energy-Efficient Way Determination Technique for Instruction Caches by Early Tab Matching. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF way predictioin, low power, Instruction cache
13Shijian Zhang, Weiwu Hu Fetching Primary and Redundant Instructions in Turn for a Fault-Tolerant Embedded Microprocessor. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Hyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt Diverge-Merge Processor: Generalized and Energy-Efficient Dynamic Predication. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic predication, adaptivity, energy efficiency, pipelining, instruction level parallelism, branch prediction, predication
13Hyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt Profile-assisted Compiler Support for Dynamic Predication in Diverge-Merge Processors. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Eduardo Quiñones, Joan-Manuel Parcerisa, Antonio González 0001 Improving Branch Prediction and Predicated Execution in Out-of-Order Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Yefim Shuf, Ian M. Steiner Characterizing a Complex J2EE Workload: A Comprehensive Analysis and Opportunities for Optimizations. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF J2EE workload, Java benchmarks, SPECjvm98, SPECjbb2000, Java 2 Enterprise Edition, SPECjAppServer2004, systems research, software research, cache-to-cache modified data transfers, intelligent thread co-scheduling, Java heap, bursty data cache, Java virtual method calls, optimizations, performance analysis, garbage collection, instruction cache, data prefetching, commercial workload
13Mojtaba Shakeri, Abolfazl Toroghi Haghighat, Mohammad K. Akbari Modeling and Evaluating the Scalability of Instruction Fetching in Superscalar Processors. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Haralampos-G. D. Stratigopoulos, Petros Drineas, Mustapha Slamani, Yiorgos Makris Non-RF to RF Test Correlation Using Learning Machines: A Case Study. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar Speculative thread decomposition through empirical optimization. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF empirical search, chip multiprocessor, decomposition, multi-core, thread-level speculation
13Dawei Liu, Shan Wang 0001, Biao Qin, Weiwei Gong Characterizing DSS Workloads from the Processor Perspective. Search on Bibsonomy APWeb/WAIM Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Huiyang Zhou A case for fault tolerance and performance enhancement using chip multi-processors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Ram Srinivasan, Jeanine E. Cook, Olaf M. Lubeck Performance modeling using Monte Carlo simulation. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Hyesoon Kim, Onur Mutlu, Yale N. Patt, Jared Stark Wish Branches: Enabling Adaptive and Aggressive Predicated Execution. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wish branches, wish loops, branch prediction, predicated execution
13Babak Salamat, Amirali Baniasadi, Kaveh Jokar Deris Area-Aware Optimizations for Resource Contrained Branch Predictors Exploited in Embedded Processors. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Xin Fu, James Poe, Tao Li, José A. B. Fortes Characterizing Microarchitecture Soft Error Vulnerability Phase Behavior. Search on Bibsonomy MASCOTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Oswaldo Cadenas, Graham M. Megson Verification and FPGA Circuits of a Block-2 Fast Path-Based Predictor. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Ian M. Steiner, Yefim Shuf A characterization of a java-based commercial workload on a high-end enterprise server. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2006 DBLP  DOI  BibTeX  RDF object co-allocation, Java, locality, garbage collection, memory management, JVM, memory allocation, run-time systems, object placement
13Berkin Özisikyilmaz, Ramanathan Narayanan, Joseph Zambreno, Gokhan Memik, Alok N. Choudhary An Architectural Characterization Study of Data Mining and Bioinformatics Workloads. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Yong Xiao, Xing-Ming Zhou Performance Evaluation of Data Value Prediction Schemes. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data value predictors, simulation, performance impact
13Huiyang Zhou, Thomas M. Conte Enhancing Memory-Level Parallelism via Recovery-Free Value Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Single data stream architectures
13Emre Özer 0001, Thomas M. Conte High-Performance and Low-Cost Dual-Thread VLIW Processor Using Weld Architecture Paradigm. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multithreaded processors, VLIW architectures, modeling of computer architecture
13Babak Salamat, Amirali Baniasadi Area-Aware Pipeline Gating for Embedded Processors. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13François Bodin, Isabelle Puaut A WCET-Oriented Static Branch Prediction Scheme for Real Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Hyesoon Kim, Onur Mutlu, Jared Stark, Yale N. Patt Wish Branches: Combining Conditional Branching and Predication for Adaptive Predicated Execution. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 151 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license