The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiplexers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1975-1987 (17) 1988-1991 (16) 1992-1994 (20) 1995 (18) 1996-1997 (19) 1998 (19) 1999 (15) 2000 (23) 2001 (20) 2002 (16) 2003 (22) 2004 (24) 2005 (19) 2006 (22) 2007 (34) 2008 (21) 2009-2012 (16) 2013-2015 (17) 2016-2018 (22) 2019-2023 (18) 2024 (1)
Publication types (Num. hits)
article(150) inproceedings(246) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 304 occurrences of 225 keywords

Results
Found 399 publication records. Showing 399 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
79Mehran Dowlatshahi, S. K. De A Numerical Method for Performance Analysis of ATM Multiplexers. Search on Bibsonomy LCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF numerical analysis method, performance analysis of ATM multiplexers, on-off bursty traffic, periodic traffic
78Cheng-Shang Chang, Duan-Shin Lee, Chao-Kai Tu Recursive construction of FIFO optical multiplexers with switched delay lines. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
70Paul Metzgen, Dominic Nancekievill Multiplexer restructuring for FPGA implementation cost reduction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF busses, recoding, FPGA, synthesis, multiplexers, restructuring, logic optimization
58Keshab K. Parhi Low-energy CSMT carry generators and binary adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
55Jay Cheng Constructions of Optical 2-to-1 FIFO Multiplexers With a Limited Number of Recirculations. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Emilia Sipos, Lelia Festila, Gabriel Oltean Towards Reconfigurable Circuits Based on Ternary Controlled Analog Multiplexers/Demultiplexers. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Analog multiplexer, reconfigurable circuit, transmission gate, CMOS transistors, SUS-LOC
55Ming-Bo Lin On the design of fast large fan-in CMOS multiplexers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46Kenshu Seto, Yuta Nonaka, Takuya Maruizumi, Yasuhiro Shiraki SAT-based resource binding for reducing critical path delays. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Dmitri Botvich, Nick G. Duffield Large deviations, the shape of the loss curve, and economies of scale in large multiplexers. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scaling limits, heterogeneous superpositions, Large deviations, ATM multiplexers
44Glen G. Langdon Jr. A Decomposition Chart Technique to Aid in Realizations with Multiplexers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Shannon's expansion, decomposition theory, residue functions, Combinational circuits, multiplexers, realization
44Massimo Alioto, Gaetano Palumbo Design of Fast Large Fan-In CMOS Multiplexers Accounting for Interconnects. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Cheng-Shang Chang, Duan-Shin Lee, Chao-Kai Tu Using switched delay lines for exact emulation of FIFO multiplexers with variable length bursts. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Ioanis Nikolaidis, Richard Fujimoto, C. Anthony Cooper Time-Parallel Simulation of Cascaded Statistical Multiplexers. Search on Bibsonomy SIGMETRICS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41Dipak Kumar Kole, Jhuma Dutta, Arpita Kundu, Suravi Chatterjee, Suravi Agarwal, Tanushri Kisku Generalized construction of quantum multiplexers and de-multiplexers using a proposed novel algorithm based on universal Fredkin gate. Search on Bibsonomy ISED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
35X. Song, Mustafa K. Mehmet Ali A Performance Analysis of Tandem Networks with Markovian Sources. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Tandem networks, Markovian sources, PGF, multiplexers, variance, mean, queue length, packet delay
35C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
35Verna J. Friesen, Johnny W. Wong A case study in local area migration to ATM. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF local area migration, local ATM, ATM switched internetwork, switching hubs, ATM-equipped end devices, ATM backbone, ATM adaptation, campus environment, network components, end-to-end loss, asynchronous transfer mode, local area network, Ethernets, simulation results, routers, servers, simulation model, multiplexers, network performance, ATM switches, end-to-end delay, network configurations, clients
35D. Satyanarayana, Santanu Chattopadhyay, Jakki Sasidhar Low Power Combinational Circuit Synthesis targeting Multiplexer based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Raffaele Bolla, Franco Davoli, Mario Marchese, Marco Perrando Call Admission Control and Routing of QoS-Aware and Best-Effort Flows in an IP-over-ATM Networking Environment. Search on Bibsonomy QoS-IP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Parag K. Lala, Anup Singh, Alvernon Walker A CMOS-Based Logic Cell for the Implementation of Self-Checking FPGAs. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF DCVSL, Stuck-ON/OFF, Stuck-at Faults, Self-testing
35Sridhar Narayanan, Melvin A. Breuer Reconfiguration techniques for a single scan chain. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
32Jay Cheng Constructions of Fault-Tolerant Optical 2-to-1 FIFO Multiplexers. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Chih-Chieh Chou, Cheng-Shang Chang, Duan-Shin Lee, Jay Cheng A Necessary and Sufficient Condition for the Construction of 2-to-1 Optical FIFO Multiplexers by a Single Crossbar Switch and Fiber Delay Lines. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Masayuki Shirane, Akiko Gomyo, Kenta Miura, Yasuo Ohtera, Hirohito Yamada, Shojiro Kawakami Optical add-drop multiplexers based on autocloned photonic crystals. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Shen-Fu Hsiao, Ming-Yu Tsai, Ming-Chih Chen, Chia-Sheng Wen An efficient pass-transistor-logic synthesizer using multiplexers and inverters only. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Kyoung-Sun Jhang, Kang Yi, Soo Yun Hwang A Two-Level On-Chip Bus System Based on Multiplexers. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Tony Stansfield Using Multiplexers for Control and Data in D-Fabrix. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Rosa Romero, Orlando Frazão, Filip Floreani, Lin Zhang, Paulo V. S. Marques, Henrique M. Salgado Multiplexers and Demultiplexers Based on Fibre Bragg Gratings and Optical Circulators for DWDM Systems. Search on Bibsonomy HSNMC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Peng Yi, Yuguo Dong, Yuntao Li, Yunfei Guo Stability Analysis of the PPS with Bufferless in Input De-Multiplexers. Search on Bibsonomy AINA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Martin Reisslein Measurement-Based Admission Control: A Large Deviations Approach for Bufferless Multiplexers. Search on Bibsonomy ISCC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Bufferless Multiplexing, Measurement-Based Admission Control, Statistical Quality of Service, Large Deviations
32Dusan Suvakovic, C. André T. Salama Guidelines for Use of Registers and Multiplexers in Low Power Low Voltage DSP Systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, DSP, energy consumption, switching, multiplexer, low voltage, register, datapath
32Anwar Elwalid, Daniel P. Heyman, T. V. Lakshman, Debasis Mitra 0001, Alan Weiss Fundamental Results on the Performance of ATM Multiplexers with Applications to Video Teleconferencing. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Fatemeh Eslami, Mihai Sima Capacitive Boosting for FPGA Interconnection Networks. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF capacitive boosting, nMOS pass transistor multiplexers, FPGA interconnection network
23Michel Mandjes, Ad Ridder A large deviations analysis of the transient of a queue with many Markov fluid inputs: approximations and fast simulation. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF importance sampling simulations, large deviations asymptotics, transient probabilities, buffer overflow, queuing theory, IP routers, calculus of variations, ATM multiplexers
23Michel Mandjes, Ad Ridder Optimal trajectory to overflow in a queue fed by a large number of sources. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF large deviations asymptotics, queueing theory, buffer overflow, calculus of variations, ATM multiplexers
23Nick G. Duffield Exponential bounds for queues with Markovian arrivals. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF risk theory, Queueing theory, large deviations, effective bandwidths, martingales, Markov Additive Processes, ATM multiplexers
23Jehoshua Bruck, Robert Cypher, Ching-Tien Ho Fault-Tolerant Meshes and Hypercubes with Minimal Numbers of Spares. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF fault-tolerant meshes, d-dimensional mesh, performance evaluation, fault tolerant computing, hypercubes, hypercube networks, multiplexers, tori, fault-tolerant architecture, buses, hexagonal meshes
23Kwang-Ya Fang, Anthony S. Wojcik Modular Decomposition of Combinational Multiple-Valued Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF combinatorial multiple-values circuits, ternary functions, optimization, many-valued logics, multiplexers, combinatorial circuits, logic functions, modular decomposition
23Raymond P. Voith ULM Implicants for Minimization of Universal Logic Module Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF universal functions, optimization, logic design, integrated circuits, logic circuits, multiplexers, Boolean algebra, prime implicants
23Frank M. Brown Weighted Realizations of Switching Functions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF tally-coded representations, multiplexers, combinational logic, symmetric functions, Binary adders
23Seung Ho Ok, Byung In Moon A Digit Reversal Circuit for the Variable-Length Radix-4 FFT. Search on Bibsonomy FGCN (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Kenji Asano, Junji Kitamichi, Kenichi Kuroda Proposal of Dynamic Module Library for System Level Modeling and Simulation of Dynamically Reconfigurable Systems. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Sreehari Veeramachaneni, Kirthi M. Krishna, Lingamneni Avinash, Reddy Puppala Sreekanth, M. B. Srinivas Novel Architectures for High-Speed and Low-Power 3-2, 4-2 and 5-2 Compressors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Gayatri Mehta, Raymond R. Hoare, Justin Stander, Alex K. Jones Design space exploration for low-power reconfigurable fabrics. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Chao-Tsung Huang, Po-Chih Tseng, Liang-Gee Chen VLSI Architecture for Lifting-Based Shape-Adaptive Discrete Wavelet Transform with Odd-Symmetric Filters. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF shape-adaptive, boundary extension, discrete wavelet transform, VLSI architecture
23Suresh Srinivasan, Aman Gayasen, Narayanan Vijaykrishnan, Tim Tuan Leakage control in FPGA routing fabric. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Jiong Luo, Lin Zhong 0001, Yunsi Fei, Niraj K. Jha Register binding-based RTL power management for control-flow intensive designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Arifur Rahman, Vijay Polavarapuv Evaluation of low-leakage design techniques for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, leakage power, multiplexer
23József Bíró, Zalán Heszberger, Mátyás Martinecz A Family of Performance Bounds for QoS Measures in Packet-Based Networks. Search on Bibsonomy NETWORKING The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Krishnendu Chakrabarty A synthesis-for-transparency approach for hierarchical and system-on-a-chip test. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Kayi Lee, Kai-Yeung Siu On the reconfigurability of single-hub WDM ring networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF capacity ratio, hub traffic, approximation algorithm, reconfigurability, dynamic, wavelength division multiplexing (WDM), ring network
23Michele Favalli, Cecilia Metra Problems Due to Open Faults in the Interconnections of Self-Checking Data-Paths. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Stefan R. Meier, Mario Steinert, Steffen Buch Testability of path history memories with register-exchange architecture used in Viterbi-decoders. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23W. J. Bainbridge, Stephen B. Furber Delay Insensitive System-on-Chip Interconnect using 1-of-4 Data Encoding. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Krishnendu Chakrabarty, Andrew Exnicios, Rajatish Mukherjee Synthesis Of Transparent Circuits For Hierarchical An System-On-A-Chip Test. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Bassam Shaer, David L. Landis, Sami A. Al-Arian Partitioning algorithm to enhance pseudoexhaustive testing of digital VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Bassam Shaer, Sami A. Al-Arian, David L. Landis Partitioning sequential circuits for pseudoexhaustive testing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Saud Andrew Al-Barrak, Adnan Andrew Nouh, Saad Haj Bakry Computer simulation for the evaluation of static and dynamic priority schemes in an ATM multiplexer with multimedia traffic. Search on Bibsonomy Int. J. Netw. Manag. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha A design-for-testability technique for register-transfer level circuits using control/data flow extraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23A. Pal, R. K. Gorai, V. V. S. S. Raju Synthesis of multiplexer network using ratio parameters and mapping onto FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiplexing equipment, multiplexer network, ratio parameters, Actel ACT1, MCNC benchmark problems, field programmable gate arrays, FPGAs, VLSI, Boolean functions, Boolean functions, logic design, iterative methods, combinational circuits, combinational circuits, logic CAD, multiplexing, search space, tree network, iterative approach
21Hongji Fang, Zhenguo Ma, Feng Yu 0003, Bei Zhao, Bo Zhang 0097 Optimised Serial Commutator FFT Architecture in Terms of Multiplexers. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Giuseppe E. Biccario, Oleg Vitrenko, Roberto Nonis, Stefano D'Amico A 5-V Switch for Analog Multiplexers With 2.5-V Transistors in 28-nm CMOS Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Zeynep Kaya, Mario Garrido, Jarmo Takala Memory-Based FFT Architecture With Optimized Number of Multiplexers and Memory Usage. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Erfan Abbasian, Maedeh Orouji, Sana Taghipour Anvari, Alireza Asadi, Ehsan Mahmoodi An ultra-low power and energy-efficient ternary Half-Adder based on unary operators and two ternary 3:1 multiplexers in 32-nm GNRFET technology. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Yun Gao, Noah Pestana, Skylar Deckoff-Jones, Jiajiu Zheng, Jordan Goldstein, Andrew M. Netherton, Ren-Jye Shiue, Michael R. Watts, Christopher V. Poulton Passive Integrated Athermal (De)Multiplexers on 300 mm Silicon Photonics Wafers. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Jin-Xu Xu, Mo Huang, Su-Li Song, Hui-Yang Li Compact Multichannel Filters and Multiplexers Based on Dual-Coaxial Resonators. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Mario Garrido, Pedro Paz Optimum MDC FFT Hardware Architectures in Terms of Delays and Multiplexers. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21B. Jeevan, Kosaraju Sivani Design of 0.8V, 22 nm DG-FinFET based efficient VLSI multiplexers. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Angela Amphawan, Sushank Chaudhary, Tse-Kian Neo, Mohsen Kakavand, Mohammad Dabbagh Radio-over-free space optical space division multiplexing system using 3-core photonic crystal fiber mode group multiplexers. Search on Bibsonomy Wirel. Networks The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Adriana N. Borodzhieva, Ivanka D. Tsvetkova, Dimitar Dimitrov Technology-Enhanced Active Learning Used for Teaching "Multiplexers and Demultiplexers". Search on Bibsonomy MIPRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Karol Niewiadomski, Dietmar Tutsch A New Concept for Multiplexers in Interconnect Blocks of FPGAs. Search on Bibsonomy ICCE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Karsten Schuh, Qian Hu, Roman Dischler, Vahid Aref, Fred Buchali, Son Thai Le, Michael Collisi, Michael Möller 0004, Horst Hettrich, Rolf Schmid, Xuan-Quang Du, Markus Grözing, Manfred Berroth High-speed IM/DD transmission with analog (de-)multiplexers. Search on Bibsonomy ECOC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Kevin Jin, Tahsin Saffat, Justin Morgan, Marek A. Perkowski A Polarity-based Approach for Optimization of Multivalued Quantum Multiplexers with Arbitrary Single-qubit Target Gates. Search on Bibsonomy FLAP The full citation details ... 2020 DBLP  BibTeX  RDF
21Ramzi A. Jaber, Ali M. Haidar 0001, Abdallah Kassem CNTFET-Based Design of Ternary Multiplier using Only Multiplexers. Search on Bibsonomy ICM The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Anh Due Ta, Danilo Vasconcellos Vargas Towards improvement of SUNA in multiplexers with preliminary results of simple logic gate neuron variation. Search on Bibsonomy GECCO Companion The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Junya Takano, Takeshi Fujisawa, Yusuke Sawada, Kunimasa Saitoh Low-Loss Silicon 2 × 4λ Multiplexers Composed of On-Chip Polarization-Splitter-Rotator and 2 × 2 and 2 × 1 Mach-Zehnder Filters for 400GbE. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
21Joel Carpenter Multi-plane light conversion based mode multiplexers. Search on Bibsonomy ECOC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Kevin Jin, Tahsin Saffat, Marek A. Perkowski A Reed Muller-based approach for optimization of general binary quantum multiplexers. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
21José Eduardo Henriques da Silva, Heder Soares Bernardino A 3-Step Cartesian Genetic Programming for Designing Combinational Logic Circuits with Multiplexers. Search on Bibsonomy EPIA (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Chenlei Li, Hao Wu, Ying Tan, Shipeng Wang, Daoxin Dai Silicon-based on-chip hybrid (de)multiplexers. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Suhas Lohit, Rajhans Singh, Kuldeep Kulkarni, Pavan K. Turaga Rate-Adaptive Neural Networks for Spatial Multiplexers. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
21Chetan Vudadha, Ajay Surya, Saurabh Agrawal, M. B. Srinivas Synthesis of Ternary Logic Circuits Using 2: 1 Multiplexers. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Yong Chen 0005, Pui-In Mak, Chirn Chye Boon, Rui Paulo Martins A 36-Gb/s 1.3-mW/Gb/s Duobinary-Signal Transmitter Exploiting Power-Efficient Cross-Quadrature Clocking Multiplexers With Maximized Timing Margin. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Tomoyuki Akiyama, Tsuyoshi Aoki, Takasi Simoyama, Akio Sugama, Shigeaki Sekiguchi, Yohei Sobu, Shinsuke Tanaka, Yu Tanaka, Seok-Hwan Jeong, Motoyuki Nishizawa, Nobuaki Hatori, Akinori Hayakawa, Toshihiko Mori Error-Free Loopback of a Compact 25 Gb/s × 4 ch WDM Transceiver Assembly Incorporating Silicon (De)Multiplexers with Automated Phase-Error Correction. Search on Bibsonomy OFC The full citation details ... 2018 DBLP  BibTeX  RDF
21G. R. Kavitha, T. S. Indumathi Quality of Service (QoS) Aware Reconfigurable Optical Add/Drop Multiplexers (ROADM) Model with Minimizing the Blocking Rate. Search on Bibsonomy CSOC (3) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Nicolas K. Fontaine, Roland Ryf, Haoshuo Chen, Steffen Wittek, Jiaxiong Li, Juan Carlos Alvarado, Jose Enrique Antonio-Lopez, Mark Cappuzzo, Rose Kopf, Alaric Tate, Hugo Safar, Cristian A. Bolle, David T. Neilson, Ellsworth Burrows, K. W. Kim, Pierre Sillard, Frank Achten, Marianne Bigot, Adrian Amezcua Correa, Rodrigo Amezcua Correa, Jiangbing Du, Zuyuan He, Joel Carpenter Packaged 45-Mode Multiplexers for a 50-µm Graded Index Fiber. Search on Bibsonomy ECOC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Bitao Pan, Fulong Yan, Xuwei Xue, Nicola Calabretta Performance Assessment of Metro Networks Based on Fast Optical Add-Drop Multiplexers Under 5G Traffic Applications. Search on Bibsonomy ECOC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Xifan Tang, Giovanni De Micheli, Pierre-Emmanuel Gaillardon A High-Performance FPGA Architecture Using One-Level RRAM-Based Multiplexers. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Sanda Lefteriu, Martine Olivi, Fabien Seyfert, Matteo Oldoni System identification of microwave filters from multiplexers by rational interpolation. Search on Bibsonomy Autom. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Xifan Tang, Edouard Giacomin, Giovanni De Micheli, Pierre-Emmanuel Gaillardon Circuit Designs of High-Performance and Low-Power RRAM-Based Multiplexers Based on 4T(ransistor)1R(RAM) Programming Structure. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Stephan Friedrichs, Attila Kinali Efficient Metastability-Containing Multiplexers. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Xifan Tang, Edouard Giacomin, Giovanni De Micheli, Pierre-Emmanuel Gaillardon Physical Design Considerations of One-level RRAM-based Routing Multiplexers. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21T. A. Birks, Stephanos Yerolatsitis, Kerrianne Harrington Adiabatic mode multiplexers. Search on Bibsonomy OFC The full citation details ... 2017 DBLP  BibTeX  RDF
21Nicolas K. Fontaine, Roland Ryf, Haoshuo Chen, David T. Neilson, Joel Carpenter Design of High Order Mode-Multiplexers using Multiplane Light Conversion. Search on Bibsonomy ECOC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Giuseppe Cocorullo, Pasquale Corsonello, Fabio Frustaci, Stefania Perri Design of efficient QCA multiplexers. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Kumar H. B. Chethan, Nachiket Kapre Hoplite-DSP: Harnessing the Xilinx DSP48 multiplexers to efficiently support NoCs on FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Rodrigo Amezcua Correa All-fiber mode multiplexers. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
21Jonathan St-Yves, Sophie LaRochelle, Wei Shi 0007 O-band silicon photonic Bragg-grating multiplexers using UV lithography. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
21Daoxin Dai Multi-channel wavelength/mode-division-multiplexers on silicon. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
21Xueyan Wang, Xiaotao Jia, Qiang Zhou 0001, Yici Cai, Jianlei Yang 0001, Mingze Gao, Gang Qu 0001 Secure and Low-Overhead Circuit Obfuscation Technique with Multiplexers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 399 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license