The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multistage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1976 (17) 1977-1980 (15) 1981-1982 (15) 1983-1985 (33) 1986-1987 (32) 1988 (23) 1989 (32) 1990 (37) 1991 (45) 1992 (45) 1993 (42) 1994 (58) 1995 (59) 1996 (68) 1997 (55) 1998 (42) 1999 (55) 2000 (57) 2001 (57) 2002 (74) 2003 (80) 2004 (100) 2005 (117) 2006 (122) 2007 (106) 2008 (118) 2009 (103) 2010 (68) 2011 (67) 2012 (62) 2013 (63) 2014 (74) 2015 (67) 2016 (102) 2017 (81) 2018 (80) 2019 (117) 2020 (127) 2021 (168) 2022 (151) 2023 (151) 2024 (38)
Publication types (Num. hits)
article(1845) book(1) incollection(20) inproceedings(1135) phdthesis(22)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1287 occurrences of 674 keywords

Results
Found 3023 publication records. Showing 3023 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
69Yuanyuan Yang 0001, Jianchao Wang Optimal All-to-All Personalized Exchange in a Class of Optical Multistage Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF semipermutation, Optical networks, permutation, crosstalk, multistage networks, all-to-all communication, Latin square, all-to-all personalized exchange
66Hong Xu 0005, Yadong Gui, Lionel M. Ni Optimal Software Multicast in Wormhole-Routed Multistage Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bidirectional multistage interconnection network, multistage cube network, turnaround routing, Wormhole routing, multicast communication
64Louis L. Scharf, Edwin K. P. Chong, Michael D. Zoltowski, J. Scott Goldstein, Irving S. Reed Subspace Expansion and the Equivalence of Conjugate Direction and Multistage Wiener Filters. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
62Liquan Xiao, Mingxuan Zhang, Xingming Zhou A multicast protocol in multistage interconnection networks. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multicast forwarding, transmission time, broadcast performance, performance evaluation, protocols, communication complexity, wormhole-routed, multistage interconnection networks, multistage interconnection networks, network traffic, multistage networks, massively parallel systems, multicast protocol
60Yuanyuan Yang 0001, Jianchao Wang Optimal All-to-All Personalized Exchange in Self-Routable Multistage Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF routing, Parallel computing, permutation, multistage interconnection networks, collective communication, interprocessor communication, all-to-all communication, Latin Square, all-to-all personalized exchange
60Yuanyuan Yang 0001, Jianchao Wang Optimal All-to-All Personalized Exchange in a Class of Optical Multistage Networks. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF semi-permutations, Optical networks, permutation, multistage networks, all-to-all communication, Latin square, all-to-all personalized exchange
60Josep Torrellas, Zheng Zhang 0001 The Performance of the Cedar Multistage Switching Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Multistage switching networks, vector multiprocessors, performance evaluation, experimental analysis, address tracing
55Laura Cottatellucci, Ralf R. Müller A Systematic Approach to Multistage Detectors in Multipath Fading Channels. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Zhitang Li, Aifang Zhang, Dong Li, Li Wang 0015 Discovering Novel Multistage Attack Strategies. Search on Bibsonomy ADMA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multistage attack, sequential pattern, incremental mining, alert correlation
52Ching-Wen Chen, Phui-Si Gan, Chih-Hung Chang Designing a High Performance and Fault Tolerant Multistage Interconnection Network with Easy Dynamic Rerouting. Search on Bibsonomy ISPA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF destination tag routing, fault tolerance, performance, Parallel computing, multistage interconnection network(MIN), collision
52Gang Xu, Sridhar Rajagopal, Joseph R. Cavallaro, Behnaam Aazhang VLSI Implementation of the Multistage Detector for Next Generation Wideband CDMA Receivers. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multistage detector, CDMA, fixed-point, multiuser detection, interference cancellation, real-time implementation
52Patrick Sobalvarro Analytical Modeling of Multistage, Multipath Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multipath network, throughput and performance, Network, analytical model, multistage network
52Gyungho Lee, Byung-Chang Kang, Richard Y. Kain Analysis of Finite Buffered Multistage Combining Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hot-spot traffic, performance analysis, multistage interconnection network, Combining, queuing delay
48Naotake Kamiura, Takashi Kodera, Nobuyuki Matsui Fault tolerant multistage interconnection networks with widely dispersed paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault tolerant MIN, widely dispersed paths, 2-dilated baseline network, switching element, concentrated SE faults, fault tolerant computing, multiprocessor interconnection network, multistage interconnection networks, multistage interconnection networks
48Yinan N. Shen, Xiao-Tao Chen, Susumu Horiguchi, Fabrizio Lombardi On the multiple fault diagnosis of multistage interconnection networks: the lower bound and the CMOS fault model. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CMOS fault model, multiple fault diagnosis, interconnection networks, fault diagnosis, lower bound, multistage interconnection networks, multistage interconnection networks, CMOS technology, stuck-open faults
48Byungho Kim, Boseob Kwon, Hyunsoo Yoon, Seungryul Maeng, Jung Wan Cho Performance Analysis of Multipath Multistage Interconnection Networks with Nonuniform Output Traffic Distribution. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF buffer circuits, multipath multistage interconnection networks, nonuniform output traffic distribution, delay-throughput performance, packet arrival rates, output module., performance evaluation, performance analysis, delays, probability, packet switching, multistage interconnection networks, telecommunication traffic, packet delay, losses, output buffers, packet loss probability
48Tse-Yun Feng, Yanggon Kim Fault-diagnosis for a class of distributed control multistage interconnection networks. Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distributed control multistage interconnection networks, multiple disjoint paths, input/output terminals, fault-diagnosis method, self-routing tags, fault-location procedure, performance evaluation, fault-tolerance, fault-diagnosis, fault tolerant computing, multistage interconnection networks, fault location, redundant paths
48Abdou Youssef, Bruce W. Arden Functional and Topological Relations Among Banyan Multistage Networks of Differing Switch Sizes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF functional relations, banyan multistage networks, switch sizes, multistage interconnection networks, MINs, optimal algorithm, topological relations, multiprocessorinterconnection networks
47Leonardo Lemes Fagundes, Luciano Paschoal Gaspary Breaking the barriers between security mechanisms through the composition of Web Services: Towards a solution for the detection of multistage distributed attacks. Search on Bibsonomy ISCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
47Lixu Gu, Jianfeng Xu, Terry M. Peters Novel Multistage Three-Dimensional Medical Image Segmentation: Methodology and Validation. Search on Bibsonomy IEEE Trans. Inf. Technol. Biomed. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47D. Richard Brown III Multistage parallel interference cancellation: convergence behavior and improved performance through limit cycle mitigation. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Josep Torrellas, Zheng Zhang 0001 The performance of the Cedar multistage switching network. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
45Shankar L. Regunathan, Kenneth Rose Motion Vector Quantization in a Rate-Distortion Framework. Search on Bibsonomy ICIP (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF rate-distortion framework, codebook design, rate-distortion cost minimisation, multistage structure, multiresolution nature, multistage motion quantization, scalable video coding applications, motion estimation, video coding, vector quantization, motion compensation, video sequences, iterative algorithm, PSNR
43Jirí Jaros Evolutionary optimization of multistage interconnection networks performance. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multistage interconnection networks, collective communications, evolutionary design, communication scheduling
43Maria A. Osorio, Nalan Gülpinar, Berç Rustem A general framework for multistage mean-variance post-tax optimization. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Post-tax optimization, Mean-variance portfolio management, Multistage stochastic mixed-integer quadratic programming, Scenario tree
43John D. Garofalakis, Eleftherios Stergiou An Analytical Performance Model for Multistage Interconnection Networks with Blocking. Search on Bibsonomy CNSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance analysis, Multistage Interconnection Networks, Blocking, Switching Networks
43Victor W. Liu, Chiuyuan Chen, Richard B. Chen Optimal all-to-all personalized exchange in d -nary banyan multistage interconnection networks. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multistage interconnection network, Banyan network, All-to-all communication, Latin square, All-to-all personalized exchange
43Golamali Rezai-Rad Optimal Adaptive Multistage Image Coding. Search on Bibsonomy CGIV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multistage coding, optimization technique
43Nian-Feng Tzeng Multistage-Based Switching Fabrics for Scalable Routers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Line cards, multistage interconnects, queue speedups, recirculation connections, routing tags, scalability, routers, switching fabrics
43Karl Frauendorfer, Gido Haarbrücker Solving Sequences of Refined Multistage Stochastic Linear Programs. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF discretization schemes, multistage stochastic linear programs, barycentric approximation, optimality condition, financial applications
43Markus Hegland, Michael R. Osborne, Jie Sun 0001 Parallel Interior Point Schemes for Solving Multistage Convex Programming. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF multistage optimisation, parallel computation, interior point methods
43Zhenshan Zhang, Tse-Yun Feng Multifunctional Optical Switches for Multistage Interconnection Networks. Search on Bibsonomy FTDCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF free-space, PLZT, broadcasting, interconnection, switch, optical, polarization, multistage
43Chenggong Charles Fan, Jehoshua Bruck Tolerating Multiple Faults in Multistage Interconnection Networks with Minimal Extra Stages. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF extra-stage, switch faults, stage masks, fault tolerance, Multistage Interconnection Networks (MIN)
43Mohammad Banikazemi, Dhabaleswar K. Panda 0001, Craig B. Stunkel, Bülent Abali Adaptive Routing in RS/6000 SP-Like Bidirectional Multistage Interconnection Networks. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Output Selection Functions, Bidirectional Multistage Interconnection Networks, Interconnection Networks, Adaptive Routing, Source Routing
43Yuanyuan Yang 0001, Jianchao Wang Optimal All-to-All Personalized Exchange in Multistage Networks. Search on Bibsonomy ICPADS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF routing, Parallel computing, permutation, multistage interconnection networks, collective communication, interprocessor communication, all-to-all communication, Latin square, all-to-all personalized exchange
43Debashis Basak, Abhijit K. Choudhury, Ellen L. Hahne Sharing memory in multistage ATM switches. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multistage ATM switches, shared-memory switching elements, banyan topology, output queueing, local cell buffer memory, buffer management technique, delayed pushout, backpressure mechanism, telecommunication traffic, bursty traffic, load conditions
43Shuo-Hsien Hsiao, C. Y. Roger Chen Performance Evaluation of Circuit Switched Multistage Interconnection Networks Using a Hold Strategy. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF message size, circuit switched multistage interconnection networks, hold strategy, processor-memory communications, processor processing time, closed queuing network model, performance evaluation, performance evaluation, multiprocessor interconnection networks, queueing theory, multiprocessor systems, switching theory, memory access
40Ji-cheng Duan, Korris Fu-Lai Chung Multilevel fuzzy relational systems: structure and identification. Search on Bibsonomy Soft Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multilevel fuzzy relational systems, Multistage fuzzy reasoning, Fuzzy neural modeling, Hierarchical fuzzy modeling
39Yeimkuan Chang Partitionability of the Multistage Interconnection Networks. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF network partitionability, physically independent subsystems, communication interference, permutation functions, mapping scheme, hypercube structure, fault tolerance, parallel architectures, fault tolerant computing, hypercube networks, multistage interconnection networks, multistage interconnection networks, MIN, switches
39Byoung Seob Park, Sung Chun Kim FBSF: a new fast packet switching fabric based-on multistage interconnection network with multiple outlets. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF packet switching fabric, FBSF, multiple outlets, ATM switch architecture, FAB Banyan Switching Fabrics, Batcher sorter, radix-r double shuffle network, r-packet distributors, parallel architectures, packet switching, multistage interconnection networks, multistage interconnection network, switch fabrics
39Ashwini K. Nanda, Laxmi N. Bhuyan Design and Analysis of Cache Coherent Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF cache coherent multistage interconnection networks, multiple copy cache coherence protocol, multistage bus network, coherence traffic, performance evaluation, protocols, multiprocessor interconnection networks, simulation models
39James T. Blake, Kishor S. Trivedi Multistage Interconnection Network Reliability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF unique-path multistage interconnection network, fault-tolerant scheme, time-dependent reliability, shuffle-exchange multistage interconnection networks, SENs, SEN+, component-lifetime distributions, tight reliability lower bound, computational complexity, fault tolerant computing, multiprocessor interconnection networks, system reliability, circuit reliability
39Clyde P. Kruskal, Marc Snir, Alan Weiss The Distribution of Waiting Times in Clocked Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF buffered interconnection networks, clocked multistage interconnection networks, random delay, multistage packet-switching banyan network, total delay distribution, spatial steady state, performance evaluation, delays, delays, multiprocessor interconnection networks, queueing theory, queueing theory, buffer storage, waiting times, formulas, conjectures, waiting times distribution
38Ninad Thakoor, Jean Gao, Venkat Devarajan Multistage Branch-and-Bound Merging for Planar Surface Segmentation in Disparity Space. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Alberto V. Donati, Vince Darley, Bala Ramachandran An Ant-bidding Algorithm for Multistage Flowshop Scheduling Problem: Optimization and Phase Transitions. Search on Bibsonomy Advances in Metaheuristics for Hard Optimization The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Neva Cherniavsky, Gidon Shavit, Michael F. Ringenburg, Richard E. Ladner, Eve A. Riskin MultiStage: A MINMAX Bit Allocation Algorithm for Video Coders. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Andrea Bianco, Jorge M. Finochietto, Marco Mellia, Fabio Neri, Giulio Galante Multistage Switching Architectures for Software Routers. Search on Bibsonomy IEEE Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Sunu Mathew, Richard Giomundo, Shambhu J. Upadhyaya, Moises Sudit, Adam Stotz Understanding multistage attacks by attack-track based visualization of heterogeneous event streams. Search on Bibsonomy VizSEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF attack tracks, visualization, intrusion detection
38Sau-Hsuan Wu, Urbashi Mitra, C.-C. Jay Kuo Performance of linear reduced-rank multistage receivers for DS-CDMA in frequency-selective fading channels. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Arjan Berkelaar, Joaquim A. S. Gromicho, Roy Kouwenberg, Shuzhong Zhang A Primal-Dual Decomposition Algorithm for Multistage Stochastic Convex Programming. Search on Bibsonomy Math. Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (1991) 90C15, 90C25, 90C51, 90C06
38Shu-Ming Tseng, Hsin-Lung Lee An adaptive partial parallel multistage detection for MIMO systems. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Mohamed Moustafa, Abd-El Aziz Moustafa Multistage Quasi-Orthogonal Minimum Output Energy Multiuser Detector Analysis for Convolutionally Coded CDMA Systems in Frequency-Selective Fading. Search on Bibsonomy ICAS/ICNS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3.5G, CDMA, 3G, convolutional code, 4G, multiuser detection, MC-CDMA, multiple-access interference
38Jeng-Shyang Pan 0001, Feng-Hsing Wang, Lakhmi C. Jain, Nikhil Ichalkaranje A Multistage VQ Based Watermarking Technique with Fake Watermarks. Search on Bibsonomy IWDW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Yuanyuan Yang 0001, Jianchao Wang A Class of Multistage Conference Switching Networks for Group Communication. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Hong Xu 0005, Yadong Gui, Lionel M. Ni Optimal software multicast in wormhole-routed multistage networks. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Laxmi N. Bhuyan, Ashwini K. Nanda Multistage bus network (MBN): an interconnection network for cache coherent multiprocessors. Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Robert W. Horst ServerNet Deadlock Avoidance and Fractahedral Topologies. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF scalable topologies, fractahedral topologies, maximum link contention, 6-port ServerNet routers, performance evaluation, concurrency control, hypercube, mesh, multistage interconnection networks, network routing, deadlock avoidance, multistage networks, deadlock-free
36Ming-Yang Su, Gen-Huey Chen, Dyi-Rong Duh A linear-time algorithm for computing the diameters of the incomplete WK-recursive networks. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multistage graphs, prune-and-search technique, interconnection network, multiprocessor system, multistage interconnection networks, linear-time algorithm, WK-recursive networks
36Seng Chuan Tay, Yong Meng Teo Mapping asynchronous parallel simulation on a network of workstations. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF asynchronous parallel simulation mapping, elapsed time, simulation bandwidth, physical processors, balanced mapping, performance evaluation, performance evaluation, partitioning, digital simulation, multistage interconnection networks, multistage interconnection networks, network of workstations, performance metrics, inter-processors communication
35Mohammad Mamunur Rashid, Sugang Xu, Yoshiaki Tanaka Limited Range Wavelength Converter Sharing in WDM Networks. Search on Bibsonomy ICITA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Martin Smíd The Expected loss in the discretization of multistage stochastic programming problems - estimation and convergence rate. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multistage stochastic programming problems, Approximation, Monte Carlo, Discretization
34Jan Richter, Ryszard Kowalczyk, Matthias Klusch Multistage Fuzzy Decision Making in Bilateral Negotiation with Finite Termination Times. Search on Bibsonomy Australasian Conference on Artificial Intelligence The full citation details ... 2009 DBLP  DOI  BibTeX  RDF agents, constraints, negotiation, fuzzy, decision, multistage
34Marcus Brenner, Armin Zimmermann Analysis of Delay Time Distributions in Multistage Interconnection Networks Considering Multicast Traffic. Search on Bibsonomy NCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Quality of Service, performance analysis, multicasting, Multistage interconnection networks, delay distributions
34Jeong-eun Lee, Mitsuo Gen, Kyong-gu Rhee Designing a multistage reverse logistics network problem by hybrid genetic algorithm. Search on Bibsonomy GECCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multistage reverse logistics network problem, priority-based encoding method, weight mapping crossover, genetic algorithm
34Daoyu Liu, Pingyu Jiang Modeling of Machining Error Propagation Network for Multistage Machining Processes. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multistage machining processes (MMPs), machining error propagation network (MEPN), machining form feature, synthesized propagation index (SPI), complex networks
34Lixin Lu, Limin Li, Yanjun Huang An Efficiency Model of Multistage Electromechanical Machines. Search on Bibsonomy PROLAMAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF efficiency model, electromechanical machines, multistage
34Youngsong Mun Performance Analysis of Banyan-Type Multistage Interconnection Networks Under Nonuniform Traffic Pattern. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nonuniform traffic pattern, multistage interconnection networks
34Yuanyuan Yang 0001, Jianchao Wang A Class of Multistage Conference Switching Networks for Group Communication. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF conference networks, many-to-many connections, group communication, multistage interconnection networks, switching, self-routing, Conferencing
34Qian-Ping Gu, Shietung Peng Wavelengths Requirement for Permutation Routing in All-Optical Multistage Interconnection Networks. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multistage interconnection networks, Permutation routing, all-optical networks, node-disjoint paths, wavelength routing, BPC permutations
34Qian-Ping Gu, Shietung Peng Efficient Protocols for Permutation Routing on All-Optical Multistage Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF WDM all-optical networks, routing algorithms, multistage interconnection networks, Permutation routing, edge-disjoint paths, BPC permutations
34Rajeev Sivaram, Dhabaleswar K. Panda 0001, Craig B. Stunkel Efficient Broadcast and Multicast on Multistage Interconnection Networks Using Multiport Encoding. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF multicast, broadcast, wormhole routing, multistage interconnection networks, collective communication, interprocessor communication, Parallel computer architecture, virtual cut-through
34Feng-Hsu Wang, Ferng-Ching Lin On Routing Maskable Messages in Hypercube-Derived Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hypercube-derived multistage interconnection networks, network cycles, $left({_d^s} right)hbox{-}$masks, Circuit switching, message routing
34Prasant Mohapatra, Chita R. Das Performance Analysis of Finite-Buffered Asynchronous Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF performance analysis, Multiprocessor, multistage interconnection network, queueing model, finite buffer
34Aristotel Tentov, Aksenti L. Grnarov Performance Analysis of ATM Switches with Multistage Packet Switching Interconnection Networks. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multistage packet switching interconnection networks, mathematical method, finite buffering capacity, switching elements output, uniform traffic, buffered interconnection networks, synchronous buffered switching element, finite buffer size, performance analysis, asynchronous transfer mode, blocking, ATM switches, output buffering, traffic patterns, nonuniform traffic
34Yiming Pi, Shunji Huang Design of multistage weighted order statistic filters by a neural network. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multistage weighted order statistic filters, genetic backpropagation algorithm, mean absolute error criterion, optimal WOS filter, nonlinear digital filter, image restoration, image restoration, multilayer perceptrons, backpropagation, adaptive filters, adaptive filter, nonlinear filters, digital filters, circuit optimisation, optimal design, adaptive signal processing, multilayer neural network
34Jianxun Jason Ding, Laxmi N. Bhuyan valuation of multi-queue buffered multistage interconnection networks under uniform and nonuniform traffic patterns. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiqueue buffered multistage interconnection networks, nonuniform traffic patterns, uniform traffic patterns, statically allocated fully connected, statically allocated multiqueue, dynamically allocated multiqueue, dynamically allocated fully connected, simulations, performance evaluation, queueing theory, analytical model, ATM switching, buffer allocation, unified model
34Peter G. Harrison, Naresh M. Patel The Representation of Multistage Interconnection Networks in Queuing Models of Parallel Systems Search on Bibsonomy J. ACM The full citation details ... 1990 DBLP  DOI  BibTeX  RDF flow-equivalent server, performance evaluation, Markov process, multistage interconnection network, crossbar switch, closed queuing network, delta network
31Rongsen He, José G. Delgado-Frias Fault Tolerant Interleaved Switching Fabrics For Scalable High-Performance Routers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Interleaved switching fabrics, RAIF (Redundant Array of Independent Fabrics), I-Cubeout network, multistage interconnection network (MIN)
31Ching-Wen Chen, Chang-Jung Ku, Chih-Hung Chang Design Schemes and Performance Analysis of Dynamic Rerouting Interconnection Networks for Tolerating Faults and Preventing Collisions. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF destination tag routing, fault tolerance, performance, Parallel computing, multistage interconnection network (MIN), collision, dynamic rerouting
31Xiangdong Qin, Yuanyuan Yang 0001 Multicast connection capacity of WDM switching networks with limited wavelength conversion. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF limited wavelength conversion, multicast connection capacity, multicast, optical networks, wavelength division multiplexing (WDM), switching networks, wavelength conversion, multistage networks
31Hyoseop Shin, Bongki Moon, Sukho Lee Adaptive and Incremental Processing for Distance Join Queries. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF k{hbox{-}}{rm{distance}} join, incremental distance join, multistage query processing, sweeping index, estimating cutoff distance, Spatial databases, plane sweeping, adaptive query processing
31Yuanyuan Yang 0001, Jianchao Wang Routing Permutations with Link-Disjoint and Node-Disjoint Paths in a Class of Self-Routable Networks. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF link-disjoint paths, crosstalk-free routing, Routing, interconnects, permutation, optical interconnects, multistage networks, node-disjoint paths, Latin square, all-to-all personalized exchange
31Vara Varavithya, Prasant Mohapatra Asynchronous Tree-Based Multicasting in Wormhole-Switched MINs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Asynchronous tree-based multicasting, deadlock configurations, multicast routing algorithm, multistage interconnection networks, wormhole switching
31Casiano Rodríguez, José L. Roda, F. García, Francisco Almeida, Daniel González Paradigms for Parallel Dynamic Programming. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multistage automatons, nondecreasing automatons, strongly increasing automatons, optimality, dynamic programming, local area networks, PVM, ring networks, transputer networks, parallel dynamic programming
29Diana Barro, Elio Canestrelli Tracking error: a multistage portfolio model. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Tracking error, Dynamic portfolio, Stochastic programming
29Amir Azaron, Farhad Kianfar Due date assignment for multistage assembly systems. Search on Bibsonomy Optim. Lett. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Assembly systems, Simulation, Markov processes, Queueing
29Holger Heitsch, Werner Römisch Scenario tree modeling for multistage stochastic programs. Search on Bibsonomy Math. Program. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 90C15
29Dong In Kim Multistage Selective ML Decoding for Multidimensional Multicode DS-CDMA with Precoding. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Zhibin Liu, Li Bai The BP Neural Network Optimizing Design Model for Agricultural Information Measurement Based on Multistage Dynamic Fuzzy Evaluation. Search on Bibsonomy WKDD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Chao Tian 0002, Suhas N. Diggavi On Multistage Successive Refinement for Wyner-Ziv Source Coding With Degraded Side Informations. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Joseph B. Soriaga, Henry D. Pfister, Paul H. Siegel Determining and Approaching Achievable Rates of Binary Intersymbol Interference Channels Using Multistage Decoding. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Vishwanath Venkataraman, John J. Shynk A Multistage Hybrid Constant Modulus Array With Constrained Adaptation for Correlated Sources. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Ajay K. Katangur, Somasheker Akkaladevi, Yi Pan 0001 Analyzing the performance of optical multistage interconnection networks with limited crosstalk. Search on Bibsonomy Clust. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF OMIN, Bandwidth, Crosstalk, Banyan network
29Aifang Zhang, Zhitang Li, Dong Li, Li Wang 0015 Discovering Novel Multistage Attack Patterns in Alert Streams. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Levent Yilmaz, Alvin S. Lim, Simon Bowen, Tuncer I. Ören Requirements and design principles for multisimulation with multiresolution, multistage multimodels. Search on Bibsonomy WSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Zhibin Liu Integration of BP Neural Network and Multistage Dynamic Fuzzy Evaluation and Its Application in HRM Performance Measurement. Search on Bibsonomy IITA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Vlasta Kanková Multistage Stochastic Programs via Stochastic Parametric Optimization. Search on Bibsonomy OR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Jinho Choi 0001 Nulling and cancellation detector for MIMO and its application to multistage receiver for coded signals: performance and optimization. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Jörgen Blomvall, Alexander Shapiro 0001 Solving multistage asset investment problems by the sample average approximation method. Search on Bibsonomy Math. Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Asset allocation, SAA method, Statistical bounds, Stochastic programming, Monte Carlo sampling
29Claude Barras, Xuan Zhu, Sylvain Meignier, Jean-Luc Gauvain Multistage speaker diarization of broadcast news. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 3023 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license