Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
121 | Wenjing Rao, Alex Orailoglu, Ramesh Karri |
Interactive presentation: Logic level fault tolerance approaches targeting nanoelectronics PLAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 865-869, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
77 | Wenjing Rao, Alex Orailoglu, Ramesh Karri |
Towards Nanoelectronics Processor Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 23(2-3), pp. 235-254, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
fault tolerance, reliability, computational model, processor architecture, nanoelectronics, time redundancy, hardware redundancy |
61 | Jeff Welser |
The semiconductor industry's nanoelectronics research initiative: motivation and challenges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 298-300, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
logic transistors, research consortium, nanoelectronics |
61 | Jeffrey Bokor |
Prospects for emerging nanoelectronics in mainstream information processing systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 647-648, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
nanoelectronics |
61 | Mark S. Lundstrom |
Is nanoelectronics the future of microelectronics? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002, pp. 172-177, 2002, ACM, 1-58113-475-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
nanoelectronics, Moore's Law, molecular electronics |
49 | Wenjing Rao, Alex Orailoglu, Ramesh Karri |
Nanofabric Topologies and Reconfiguration Algorithms to Support Dynamically Adaptive Fault Tolerance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 24th IEEE VLSI Test Symposium (VTS 2006), 30 April - 4 May 2006, Berkeley, California, USA, pp. 214-221, 2006, IEEE Computer Society, 0-7695-2514-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
45 | Kosmas Galatsis, Paolo Gargini, Toshiro Hiramoto, Dirk Beernaert, Roger DeKeersmaecker, Joachim Pelka, Lothar Pfitzner |
Nanoelectronics Research Gaps and Recommendations: A Report from the International Planning Working Group on Nanoelectronics (IPWGN) [Commentary]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Technol. Soc. Mag. ![In: IEEE Technol. Soc. Mag. 34(2), pp. 21-30, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
44 | Raúl Valín Ferreiro, Natalia Seoane, Manuel Aldegunde, Antonio J. García-Loureiro |
The MOSFET Virtual Organisation: Grid Computing for Simulation in Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
eScience ![In: Fifth International Conference on e-Science, e-Science 2009, 9-11 December 2009, Oxford, UK, pp. 271-276, 2009, IEEE Computer Society, 978-0-7695-3877-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
DG-SOI MOSFET, EGI, NGI-es, Grid computing, Monte Carlo Simulation, Nanoelectronics |
44 | Wei Wang 0003, Ming Liu, Andrew Hsu |
Hybrid Nanoelectronics: Future of Computer Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 21(6), pp. 871-886, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
fault tolerance, hybrid, circuit, computer systems, nanoelectronics, device, microelectronics |
44 | Jie Han 0001, Jianbo Gao, Yan Qi 0003, Pieter Jonker, José A. B. Fortes |
Toward Hardware-Redundant, Fault-Tolerant Logic for Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 22(4), pp. 328-339, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
N-tuple modular redundancy (NMR), interwoven redundant logic, random interwoven redundancy, fault-tolerance, Markov chain, nanotechnology, multiplexing, error bounds, nanoelectronics, bifurcation analysis |
39 | Zhanyu Ning, Hong Guo |
MATDCAL: A First Principles Package for Nanoelectronics Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: 22nd Annual International Symposium on High Performance Computing Systems and Applications (HPCS 2008), June 9-11, 2008, Québec City, Canada, pp. 16, 2008, IEEE Computer Society, 978-0-7695-3250-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
MATDCAL, MPI, DFT, MATLAB |
39 | Aiman H. El-Maleh, Bashir M. Al-Hashimi, Aissa Melouki |
Transistor-level based defect tolerance for reliable nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICCSA ![In: The 6th ACS/IEEE International Conference on Computer Systems and Applications, AICCSA 2008, Doha, Qatar, March 31 - April 4, 2008, pp. 53-60, 2008, IEEE Computer Society, 978-1-4244-1967-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
39 | R. Iris Bahar, Dan W. Hammerstrom, Justin E. Harlow III, William H. Joyner Jr., Clifford Lau, Diana Marculescu, Alex Orailoglu, Massoud Pedram |
Architectures for Silicon Nanoelectronics and Beyond. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 40(1), pp. 25-33, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Silicon devices, Computer architectures, Nanotechnology |
39 | Roberto Guerrieri |
Convergence of Nanoelectronics and Living Cells: A New Frontier for Diagnostics and Therapy? ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India, pp. 32, 2007, IEEE Computer Society, 0-7695-2762-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
39 | Kousik Sivakumar, Balaji Panchapakesan |
Electric Field Assisted Deposition of Nanowires from Carbon Nanotubes for Nanoelectronics and Sensor Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMENS ![In: 2003 International Conference on MEMS, NANO, and Smart Systems (ICMENS 2003), 20-23 July 2003, Banff, Alberta, Canada, pp. 203-, 2003, IEEE Computer Society, 0-7695-1947-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
38 | Lei Fang 0002, Michael S. Hsiao |
Bilateral Testing of Nano-scale Fault-Tolerant Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 24(1-3), pp. 285-296, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Bilateral fault model, Fault-tolerant, ATPG, Nanoelectronics |
38 | James C. Ellenbogen |
Advances Toward Molecular-Scale Electronic Digital Logic Circuits: A Review and Prospectus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 4-6 March 1999, Ann Arbor, MI, USA, pp. 392-, 1999, IEEE Computer Society, 0-7695-0104-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
nanocomputer architectures, molecular circuit designs, molecular adder, nanoelectronics, molecular electronics |
33 | Zhengfei Wang, Huaixiu Zheng, Qinwei Shi, Jie Chen 0002 |
Emerging nanodevice paradigm: Graphene-based electronics for nanoscale computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 5(1), pp. 3:1-3:19, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Graphene device, negative differential resistance, tight-binding model, memory structure |
33 | Wenjing Rao, Alex Orailoglu |
Towards fault tolerant parallel prefix adders in nanoelectronic systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 360-365, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
33 | Cemal Basaran, Minghui Lin, Shidong Li |
Computational simulation of electromigration induced damage in copper interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCSC ![In: Proceedings of the 2007 Summer Computer Simulation Conference, SCSC 2007, San Diego, California, USA, July 16-19, 2007, pp. 261-268, 2007, Simulation Councils, Inc., 1-56555-316-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
copper interconnects, damage mechanics, electronics packaging reliability, thin film, electromigration |
33 | Shu Li, Tong Zhang 0002 |
Hybrid resistor/FET-logic demultiplexer architecture design for hybrid CMOS/nanodevice circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 574-579, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | Matthew M. Ziegler, Mircea R. Stan |
The CMOS/nano interface from a circuits perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 904-907, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Gade Mary Swarna Latha, S. Rooban |
QCA with reversible arithmetic and logic unit for nanoelectronics applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Intell. Comput. Cybern. ![In: Int. J. Intell. Comput. Cybern. 16(1), pp. 139-157, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
23 | Avi Karsenty |
Overcoming Silicon Limitations Short Review: How Geometrical Innovation Can Revolutionize Nanophotonics and Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTON ![In: 23rd International Conference on Transparent Optical Networks, ICTON 2023, Bucharest, Romania, July 2-6, 2023, pp. i-iv, 2023, IEEE, 979-8-3503-0303-2. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
23 | G. Purnachandra Rao, Trupti Ranjan Lenka, Hieu Pham Trung Nguyen |
Performance Analysis of Gate Engineered III-Nitride/ $\beta$-Ga2O3 Nano-HEMT for High-Power Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: IEEE International Symposium on Circuits and Systems, ISCAS 2023, Monterey, CA, USA, May 21-25, 2023, pp. 1-4, 2023, IEEE, 978-1-6654-5109-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
23 | Olivier Bonnaud |
Technical and pedagogical challenges in micro-nanoelectronics for facing upcoming digital society. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LASCAS ![In: 13th IEEE Latin America Symposium on Circuits and System, LASCAS 2022, Puerto Varas, Chile, March 1-4, 2022, pp. 1-4, 2022, IEEE, 978-1-6654-2008-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
23 | Seied Ali Hosseini, Sajjad Etezadi |
A Novel Low-Complexity and Energy-Efficient Ternary Full Adder in Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 40(3), pp. 1314-1332, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
23 | Urmimala Chatterjee, Giorgos Fagas |
ASCENT+ European Infrastructure for Nanoelectronics: a Deep Dive to All-GaN IC Technology for Power Electronics : Extended abstract. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MIXDES ![In: 2021 28th International Conference on Mixed Design of Integrated Circuits and System, Lodz, Poland, June 24-26, 2021, pp. 13, 2021, IEEE, 978-83-63578-20-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
23 | Seied Ali Hosseini, Sajjad Etezadi |
A Novel Very Low-Complexity Multi-valued Logic Comparator in Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 39(1), pp. 223-244, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
23 | Maryam Ghelichkhan, Seied Ali Hosseini, Seyyed Hossein Pishgar Komleh |
Multi-digit Binary-to-Quaternary and Quaternary-to-Binary Converters and Their Applications in Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 39(4), pp. 1920-1942, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
23 | Seied Ali Hosseini, Sajjad Etezadi |
Low storage power and high noise margin ternary memory cells in nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Circuits Devices Syst. ![In: IET Circuits Devices Syst. 14(7), pp. 929-941, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
23 | Seied Ali Hosseini, Esmail Roosta |
A novel low complexity and energy-efficient method to implement quaternary logic function in nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. J. ![In: Microelectron. J. 102, pp. 104821, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
23 | Udayan Ganguly, Sandip Lashkare, Swaroop Ganguly |
India's Rise in Nanoelectronics Research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2011.11251, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
23 | Arkadiusz Malinowski, Shiv Kumar Mishra |
Challenges in Performance Improvement of Silicon Systems on Chip in Advanced Nanoelectronics Technology Nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MIXDES ![In: 27th International Conference on Mixed Design of Integrated Circuits and System, MIXDES 2020, Wroclaw, Poland, June 25-27, 2020, pp. 175-180, 2020, IEEE, 978-83-63578-18-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
23 | Slavka Tzanova |
Internationalised Master Degree Education in Nanoelectronics in Asian Universities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDUCON ![In: 2020 IEEE Global Engineering Education Conference, EDUCON 2020, Porto, Portugal, April 27-30, 2020, pp. 37-40, 2020, IEEE, 978-1-7281-0930-5. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
23 | Esmail Roosta, Seied Ali Hosseini |
A Novel Multiplexer-Based Quaternary Full Adder in Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 38(9), pp. 4056-4078, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
23 | Chris Van Hoof |
The OnePlanet Research Center - applying nanoelectronics, sensors, circuits and AI in food, health, agriculture and the environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWASI ![In: IEEE 8th International Workshop on Advances in Sensors and Interfaces, IWASI 2019, Otranto, Italy, June 13-14, 2019, pp. 128, 2019, IEEE, 978-1-7281-0557-4. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
23 | Ramin Rajaei |
A Reliable, Low Power and Nonvolatile MTJ-Based Flip-Flop for Advanced Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 27(13), pp. 1850205:1-1850205:14, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
23 | Shima Sedighiani, Arman Kazemi |
An Energy-Efficient Quaternary Serial Adder for Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 48th IEEE International Symposium on Multiple-Valued Logic, ISMVL 2018, Linz, Austria, May 16-18, 2018, pp. 44-49, 2018, IEEE Computer Society, 978-1-5386-4464-5. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
23 | Elena N. Velichko, Elina K. Nepomnyashchaya, Maxim Baranov |
Study of Self-assembled Molecular Films as a Method of Search for Promising Materials in Nanoelectronics and Nanocommunications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NEW2AN ![In: Internet of Things, Smart Spaces, and Next Generation Networks and Systems - 18th International Conference, NEW2AN 2018, and 11th Conference, ruSMART 2018, St. Petersburg, Russia, August 27-29, 2018, Proceedings, pp. 691-701, 2018, Springer, 978-3-030-01167-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
23 | Mladen Bozanic, Saurabh Chaturvedi, Saurabh Sinha |
Re-inventing postgraduate level teaching and learning in nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFRICON ![In: IEEE AFRICON 2017, Cape Town, South Africa, September 18-20, 2017, pp. 676-681, 2017, IEEE, 978-1-5386-2775-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
23 | Tatyana A. Kholomina, S. I. Malchenko, V. V. Gudzev, Nikolai B. Rybin |
Computer simulation of experimental methods to investigate materials and structures of micro- and nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MECO ![In: 6th Mediterranean Conference on Embedded Computing, MECO 2017, Bar, Montenegro, June 11-15, 2017, pp. 1-5, 2017, IEEE, 978-1-5090-6742-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
23 | Damir Vodenicarevic |
Rhythms and oscillations : a vision for nanoelectronics. (Rythmes et oscillations : une vision pour la nanoélectronique). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2017 |
RDF |
|
23 | Simon Deleonibus |
Looking into the future of Nanoelectronics in the Diversification Efficient Era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Inf. Sci. ![In: Sci. China Inf. Sci. 59(6), pp. 061401:1-061401:14, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
23 | Enrico Prati |
Atomic scale nanoelectronics for quantum neuromorphic devices: comparing different materials. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1606.01884, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
23 | Nicodemus Banagaaya, Lihong Feng, Wim Schoenmaker, Peter Meuris, Aarnout Wieers, Renaud Gillon, Peter Benner |
Model Order Reduction for nanoelectronics coupled problems with many inputs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, Dresden, Germany, March 14-18, 2016, pp. 313-318, 2016, IEEE, 978-3-9815-3707-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
23 | Weinan Zhu, Saungeun Park, Hsiao-Yu Chang, Maruthi N. Yogeesh, Deji Akinwande |
Flexible 2D nanoelectronics from baseband to sub-THz transistors and circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: IEEE International Symposium on Circuits and Systems, ISCAS 2016, Montréal, QC, Canada, May 22-25, 2016, pp. 409-412, 2016, IEEE, 978-1-4799-5341-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
23 | Garrett S. Rose |
Security Meets Nanoelectronics for Internet of Things Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 26th edition on Great Lakes Symposium on VLSI, GLVLSI 2016, Boston, MA, USA, May 18-20, 2016, pp. 181-183, 2016, ACM, 978-1-4503-4274-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
23 | Mohammad Hossein Moaiyeri, Mohsen Shamohammadi, Fazel Sharifi, Keivan Navi |
High-performance ternary logic gates for nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Perform. Syst. Archit. ![In: Int. J. High Perform. Syst. Archit. 5(4), pp. 209-215, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Subrata Biswas, Poly Kundu, Md. Hasnat Kabir, Sagir Ahmed, Md. Moidul Islam |
Design and Analysis of High Frame Rate Capable Active Pixel Sensor by Using CNTFET Devices for Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Recent Contributions Eng. Sci. IT ![In: Int. J. Recent Contributions Eng. Sci. IT 3(4), pp. 20-25, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Giorgio Baccarani, Emanuele Baravelli, Elena Gnani, Antonio Gnudi, Susanna Reggiani |
Theoretical analyses and modeling for nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESSDERC ![In: 45th European Solid State Device Research Conference, ESSDERC 2015, Graz, Austria, September 14-18, 2015, pp. 4-9, 2015, IEEE, 978-1-4673-7133-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Heike Riel |
The Future of Nanoelectronics: New Materials, Architectures and Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2015 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2015, Montpellier, France, July 8-10, 2015, pp. 446, 2015, IEEE Computer Society, 978-1-4799-8719-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Giorgio Baccarani, Emanuele Baravelli, Elena Gnani, Antonio Gnudi, Susanna Reggiani |
Theoretical analyses and modeling for nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESSCIRC ![In: ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015, pp. 4-9, 2015, IEEE, 978-1-4673-7470-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Maruthi N. Yogeesh, Saungeun Park, Deji Akinwande |
Graphene based GHz flexible nanoelectronics and radio receiver systems (Invited). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: 2015 IEEE International Symposium on Circuits and Systems, ISCAS 2015, Lisbon, Portugal, May 24-27, 2015, pp. 2916-2919, 2015, IEEE, 978-1-4799-8391-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Jae Won Do |
Selective metallization and electronic self-healing for high performance carbon-based nanoelectronics ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
23 | Adam Nykoruk McCaughan |
Superconducting thin film nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
23 | Garrett S. Rose, Dhireesha Kudithipudi, Ganesh Khedkar, Nathan R. McDonald, Bryant T. Wysocki, Lok-Kwong Yan |
Nanoelectronics and Hardware Security. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Network Science and Cybersecurity ![In: Network Science and Cybersecurity, pp. 105-123, 2014, Springer, 978-1-4614-7596-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Erik Bruun, Danilo Demarchi, Ivan Ring Nielsen |
European master programs in nanoelectronics and microsystems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWME ![In: 10th European Workshop on Microelectronics Education (EWME), Tallinn, Estonia, May 14-16, 2014, pp. 53-57, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Pia Juliane Wessely, Udo Schwalke |
2nd generation bilayer graphene transistors for applications in nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DTIS ![In: Proceedings of the 9th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, DTIS 2014, Santorini, Greece, May 6-8, 2014, pp. 1-3, 2014, IEEE, 978-1-4799-4972-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Enrique Carrion |
Towards high performance graphene nanoelectronics: materials, contacts and interfaces ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2014 |
RDF |
|
23 | Udo Schwalke |
The future of nanoelectronics is black: From silicon to hexagonal carbon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFRICON ![In: AFRICON 2013, Pointe aux Piments, Mauritius, September 9-12, 2013, pp. 1-5, 2013, IEEE, 978-1-4673-5940-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Tian Ban, Jianxin Wang 0002, Ting An, Lirida A. B. Naviner |
Modeling of transient faults and fault-tolerant design in nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MWSCAS ![In: IEEE 56th International Midwest Symposium on Circuits and Systems, MWSCAS 2013, Columbus, OH, USA, August 4-7, 2013, pp. 545-548, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Erik Bruun, Ivan Ring Nielsen |
Trends in university programs in nanoelectronics and microsystems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NORCHIP ![In: 2013 NORCHIP, Vilnius, Lithuania, November 11-12, 2013, pp. 1-6, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Augusto Neutzling, Mayler G. A. Martins, Renato P. Ribas, André Inácio Reis |
Synthesis of threshold logic gates to nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: 26th Symposium on Integrated Circuits and Systems Design, SBCCI 2013, Curitiba, Brazil, September 2-6, 2013, pp. 1-6, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Slavka Tzanova, Mile Stankovski, Silvia Schintke |
Improvement of university teaching in micro- and nanoelectronics for the needs of the labour market. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITHET ![In: 2013 12th International Conference on Information Technology Based Higher Education and Training, ITHET 2013, Antalya, Turkey, October 10-12, 2013, pp. 1-5, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Ryan S. Dowdy |
Planar GaAs nanowire arrays for nanoelectronics: controlled growth, doping, characterization, and devices ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2013 |
RDF |
|
23 | Demetrio Logoteta |
Numerical simulation of transport and noise in low-dimensional devices for nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2013 |
RDF |
|
23 | Jacek Flak, Mika Laiho |
Fault-tolerant programmable logic array for nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Circuit Theory Appl. ![In: Int. J. Circuit Theory Appl. 40(12), pp. 1233-1247, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Udo Schwalke, Pia Juliane Wessely, Frank Wessely, Martin Keyn, Lorraine Rispal |
Nanoelectronics: From silicon to graphene. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DTIS ![In: 7th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, Tunis, Tunisia, May 16-18, 2012, pp. 1-3, 2012, IEEE, 978-1-4673-1926-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Kazuhiro Kudo, Masatoshi Sakai |
Molecular Manipulation Technologies Using an Electric Field and Application to Organic Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 94-C(12), pp. 1816-1823, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Shamik Das |
Transitioning from Microelectronics to Nanoelectronics [Guest editor's introduction]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 44(2), pp. 18-19, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Mani Vaidyanathan |
Electronics From the Bottom Up: Strategies for Teaching Nanoelectronics at the Undergraduate Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Educ. ![In: IEEE Trans. Educ. 54(1), pp. 77-86, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Tian Ban, Lirida A. B. Naviner |
Progressive module redundancy for fault-tolerant designs in nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 51(9-11), pp. 1489-1492, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Quirina Ferreira, Jorge Morgado |
Electrical properties of a single molecule: Functionalisation of surfaces for nanoelectronics applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROCON ![In: Proceedings of EUROCON 2011, International Conference on Computer as a Tool, 27-29 April 2011, Lisbon, Portugal, pp. 1-4, 2011, IEEE. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Mathieu Luisier, Gerhard Klimeck |
Numerical strategies towards peta-scale simulations of nanoelectronics devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel Comput. ![In: Parallel Comput. 36(2-3), pp. 117-128, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
23 | Michael Haselman, Scott Hauck |
The Future of Integrated Circuits: A Survey of Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. IEEE ![In: Proc. IEEE 98(1), pp. 11-38, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
23 | Michel Brillouët, George Bourianoff, Ralph K. Cavin III, Toshiro Hiramoto, James A. Hutchby, Adrian M. Ionescu, Ken Uchida |
Regional, National, and International Nanoelectronics Research Programs: Topical Concentration and Gaps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. IEEE ![In: Proc. IEEE 98(12), pp. 1993-2004, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
23 | George Bourianoff, Michel Brillouët, Ralph K. Cavin III, Toshiro Hiramoto, James A. Hutchby, Adrian M. Ionescu, Ken Uchida |
Nanoelectronics Research for Beyond CMOS Information Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. IEEE ![In: Proc. IEEE 98(12), pp. 1986-1992, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
23 | Jim Esch |
Prolog to The Future of Integrated Circuits: A Survey of Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. IEEE ![In: Proc. IEEE 98(1), pp. 8-10, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
23 | Dominique Vuillaume |
Molecular Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. IEEE ![In: Proc. IEEE 98(12), pp. 2111-2123, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
23 | R. De Keersmaeker, Michael L. Roukes, D. Antoinadis, Hugo De Man, George Bourianoff, Michel Brillouët, Lars Samuelson |
Panel session - great challenges in nanoelectronics and impact on academic research: More than Moore or Beyond CMOS? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010, pp. 1677, 2010, IEEE Computer Society, 978-1-4244-7054-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP BibTeX RDF |
|
23 | Dimitri A. Antoniadis |
Nanoelectronics challenges for the 21st century. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010, pp. 1487, 2010, IEEE Computer Society, 978-1-4244-7054-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
23 | James D. Meindl, Azad Naeemi, Muhannad S. Bakir, R. Murali |
Nanoelectronics in retrospect, prospect and principle. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid-State Circuits Conference, ISSCC 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010, pp. 31-35, 2010, IEEE, 978-1-4244-6033-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
23 | Bipul C. Paul, Krishnendu Chakrabarty |
Advances in nanoelectronics circuits and systems [Editorial]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 3(6), pp. 551-552, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Vincent Mao, V. Thusu, Chris Dwyer, Krishnendu Chakrabarty |
Connecting fabrication defects to fault models and SPICE simulations for DNA self-assembled nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 3(6), pp. 553-569, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Muhammad Khaled Husain |
Electrodeposited Ni/Ge and germanide Schottky barriers for nanoelectronics applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2009 |
RDF |
|
23 | Hiroshi Mizuta, Shunri Oda |
Bottom-up approach to silicon nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. J. ![In: Microelectron. J. 39(2), pp. 171-176, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | M. Geller, Friedhelm Hopfer, Dieter Bimberg |
Nanostructures for nanoelectronics: No potential for room temperature applications? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. J. ![In: Microelectron. J. 39(3-4), pp. 302-306, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Christof Teuscher, Natali Gulbahce, Thimo Rohlf |
Assessing Random Dynamical Network Architectures for Nanoelectronics ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/0805.2684, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
|
23 | Christof Teuscher, Natali Gulbahce, Thimo Rohlf |
Assessing random dynamical network architectures for nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NANOARCH ![In: 2008 IEEE International Symposium on Nanoscale Architectures, NANOARCH 2008, Anaheim, CA, USA, June 12-13, 2008, pp. 16-23, 2008, IEEE Computer Society, 978-1-4244-2552-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Vincent Mao, Chris Dwyer, Krishnendu Chakrabarty |
Fabrication Defects and Fault Models for DNA Self-Assembled Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2008 IEEE International Test Conference, ITC 2008, Santa Clara, California, USA, October 26-31, 2008, pp. 1-10, 2008, IEEE Computer Society, 978-1-4244-2403-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Alain E. Kaloyeros, Mircea R. Stan, Barry Arkles, Robert E. Geer, Eric T. Eisenbraun, James E. Raynolds, Anand Gadre, Yongqiang Xue, James Ryan |
Conformational Molecular Switches for Post-CMOS Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. I Regul. Pap. ![In: IEEE Trans. Circuits Syst. I Regul. Pap. 54-I(11), pp. 2345-2352, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | René Kothe, Heinrich Theodor Vierhaus |
Flip-Flops and Scan-Path Elements for Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), Kraków, Poland, April 11-13, 2007, pp. 307-312, 2007, IEEE Computer Society, 1-4244-1161-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Ralf Eickhoff, Tim Kaulmann, Ulrich Rückert 0001 |
Neural Inspired Architectures for Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN ![In: Computational and Ambient Intelligence, 9th International Work-Conference on Artificial Neural Networks, IWANN 2007, San Sebastián, Spain, June 20-22, 2007, Proceedings, pp. 414-421, 2007, Springer, 978-3-540-73006-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Valeriu Beiu |
Grand Challenges of Nanoelectronics and Possible Architectural Solutions: What Do Shannon, von Neumann, Kolmogorov, and Feynman Have to do with Moore. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 37th International Symposium on Multiple-Valued Logic, ISMVL 2007, 13-16 May 2007, Oslo, Norway, 2007, IEEE Computer Society, 978-0-7695-2831-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Robert Chau |
Nanotechnology for low-power and high-speed nanoelectronics applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 1, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
keynote |
23 | Sandip Tiwari |
Nanoelectronics Device Technologies: CMOS, Beyond and the Mysterious Case of Ockham's Razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India, pp. 24-25, 2007, IEEE Computer Society, 0-7695-2762-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | A. J. van Roosmalen, G. Q. Zhang |
Reliability challenges in the nanoelectronics era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 46(9-11), pp. 1403-1414, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Jim Esch |
Prolog to Wafer Direct Bonding: From Advance Substrate Engineering to Future Applications in Micro/Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. IEEE ![In: Proc. IEEE 94(12), pp. 2058-2059, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Silke H. Christiansen, Rajendra Singh, Ulrich Gosele |
Wafer Direct Bonding: From Advanced Substrate Engineering to Future Applications in Micro/Nanoelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. IEEE ![In: Proc. IEEE 94(12), pp. 2060-2106, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|