|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 710 occurrences of 439 keywords
|
|
|
Results
Found 2479 publication records. Showing 2479 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
104 | Wei Lu, Xiu-Tao Yang, Tao Lv 0001, Xiaowei Li 0001 |
An Efficient Evaluation and Vector Generation Method for Observability-Enhanced Statement Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 20(6), pp. 875-884, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
simulation, observability, design verification, coverage metrics, vector generation |
94 | Jason Cong, Bin Liu 0006, Zhiru Zhang |
Behavior-level observability don't-cares and application to low-power behavioral synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 139-144, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
low power, observability, behavioral synthesis |
81 | Kwang Wee Lee, W. Sardha Wijesoma, Javier Ibañez-Guzmán |
On the Observability and Observability Analysis of SLAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 3569-3574, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
78 | Pieter Collins, Jan H. van Schuppen |
Observability of Piecewise-Affine Hybrid Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HSCC ![In: Hybrid Systems: Computation and Control, 7th International Workshop, HSCC 2004, Philadelphia, PA, USA, March 25-27, 2004, Proceedings, pp. 265-279, 2004, Springer, 3-540-21259-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
68 | Mohammad Abdullah Al Faruque, Thomas Ebi, Jörg Henkel |
ROAdNoC: runtime observability for an adaptive network on chip architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 543-548, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
68 | Tai-Ying Jiang, Chien-Nan Jimmy Liu, Jing-Yang Jou |
Observability Analysis on HDL Descriptions for Effective Functional Validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(8), pp. 1509-1521, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
66 | Tao Lv 0001, Jianping Fan 0002, Xiaowei Li 0001, Ling-Yi Liu |
Observability Statement Coverage Based on Dynamic Factored Use-Definition Chains for Functional Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 22(3), pp. 273-285, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
dynamic factored use-definition chains, observability, data-flow analysis, design verification, coverage metrics |
66 | Jessica Chen, Hasan Ural |
Detecting Observability Problems in Distributed Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TestCom ![In: Testing of Communicating Systems, 18th IFIP TC6/WG6.1 International Conference, TestCom 2006, New York, NY, USA, May 16-18, 2006, Proceedings, pp. 213-226, 2006, Springer, 3-540-34184-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
distributed test architecture, testing, controllability, Finite state machine, observability |
59 | Arthur J. Krener |
Observability of vortex flows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDC ![In: Proceedings of the 47th IEEE Conference on Decision and Control, CDC 2008, December 9-11, 2008, Cancún, Mexico, pp. 3884-3889, 2008, IEEE, 978-1-4244-3123-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
59 | Xiao-Yong Wei, Chong-Wah Ngo |
Fusing semantics, observability, reliability and diversity of concept detectors for video search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 16th International Conference on Multimedia 2008, Vancouver, British Columbia, Canada, October 26-31, 2008, pp. 81-90, 2008, ACM, 978-1-60558-303-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
concept-based video search, detector selection and fusion |
56 | Julien Laumonier, Brahim Chaib-draa |
Partial Local FriendQ Multiagent Learning: Application to Team Automobile Coordination Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Canadian AI ![In: Advances in Artificial Intelligence, 19th Conference of the Canadian Society for Computational Studies of Intelligence, Canadian AI 2006, Québec City, Québec, Canada, June 7-9, 2006, Proceedings, pp. 359-370, 2006, Springer, 3-540-34628-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
52 | Ruofan Xu, Michael S. Hsiao |
Embedded core testing using genetic algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 254-259, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
gate level implementation, user defined logic, random inputs, high level benchmarks, wrapper size, genetic algorithms, genetic algorithms, fault diagnosis, logic testing, controllability, controllability, high level synthesis, automatic test pattern generation, observability, observability, application specific integrated circuits, fault coverage, SOC, test application time, test patterns, embedded core testing, internal state |
52 | Yeong-Ruey Shieh, Cheng-Wen Wu |
DC control and observation structures for analog circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 4th Asian Test Symposium (ATS '95), November 23-24, 1995. Bangalore, India, pp. 120-126, 1995, IEEE Computer Society, 0-8186-7129-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
level-sensitive scan-design, test points, DC voltage levels, diagnosis capability, calibration process, read-out voltage levels, VLSI, VLSI, fault diagnosis, controllability, controllability, integrated circuit testing, calibration, observability, observability, analog circuits, mixed signal circuits, mixed analogue-digital integrated circuits |
50 | Linthotage Dushantha Lochana Perera, Eric Nettleton |
On the nonlinear observability and the information form of the SLAM problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2009 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 11-15, 2009, St. Louis, MO, USA, pp. 2061-2068, 2009, IEEE, 978-1-4244-3803-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
50 | Luis I. Aguirre-Salas, Alejandra Santoyo-Sanchez |
Observability analysis of interpreted Petri nets under partial state observations using estimations reachability graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETFA ![In: Proceedings of 13th IEEE International Conference on Emerging Technologies and Factory Automation, ETFA 2008, September 15-18, 2008, Hamburg, Germany, pp. 129-136, 2008, IEEE, 1-4244-1505-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Mohammad Rezaeian |
Sensor Scheduling for Optimal Observability Using Estimation Entropy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PerCom Workshops ![In: Fifth Annual IEEE International Conference on Pervasive Computing and Communications - Workshops (PerCom Workshops 2007), 19-23 March 2007, White Plains, New York, USA, pp. 307-312, 2007, IEEE Computer Society, 978-0-7695-2788-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Chris J. Dafis, Chika O. Nwankpa |
A nonlinear observability formulation for power systems incorporating generator dynamics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2002 International Symposium on Circuits and Systems, ISCAS 2002, Scottsdale, Arizona, USA, May 26-29, 2002, pp. 277-280, 2002, IEEE, 0-7803-7448-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
48 | Antonia M. Sánchez, Francisco J. Montoya |
Safe Supervisory Control Under Observability Failure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Discret. Event Dyn. Syst. ![In: Discret. Event Dyn. Syst. 16(4), pp. 493-525, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Observability, Robust control, Supervisory control, Fault-tolerant control |
48 | Jessica Chen, Robert M. Hierons, Hasan Ural |
Resolving Observability Problems in Distributed Test Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FORTE ![In: Formal Techniques for Networked and Distributed Systems - FORTE 2005, 25th IFIP WG 6.1 International Conference, Taipei, Taiwan, October 2-5, 2005, Proceedings, pp. 219-232, 2005, Springer, 3-540-29189-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
testing, controllability, Finite state machine, observability, test architecture |
48 | Marc Joye, Jean-Jacques Quisquater, Sung-Ming Yen, Moti Yung |
Observability Analysis - Detecting When Improved Cryptosystems Fail. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CT-RSA ![In: Topics in Cryptology - CT-RSA 2002, The Cryptographer's Track at the RSA Conference, 2002, San Jose, CA, USA, February 18-22, 2002, Proceedings, pp. 17-29, 2002, Springer, 3-540-43224-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
robustness, cryptanalysis, implementations, side-channel attacks, observability, Security analysis, fault analysis, cryptosystems |
45 | Jessica Andrea Carballido, Ignacio Ponzoni, Nélida Beatriz Brignole |
A Novel Application of Evolutionary Computing in Process Systems Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EvoCOP ![In: Evolutionary Computation in Combinatorial Optimization, 5th European Conference, EvoCOP 2005, Lausanne, Switzerland, March 30 - April 1, 2005, Proceedings, pp. 12-22, 2005, Springer, 3-540-25337-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Process-Plant Instrumentation Design, Observability Analysis, Multi-Objective Genetic Algorithm, Combinatorial Optimization Problem, PSE |
43 | Yin-He Su, Ching-Hwa Cheng, Shih-Chieh Chang |
Novel techniques for improving testability analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 392-397, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
closed-form formulation, implication reasoning, TAIR, tree-structured circuit, logic testing, logic testing, controllability, controllability, built-in self test, automatic test pattern generation, BIST, observability, observability, stuck-at fault, shift registers, testability analysis, test patterns |
43 | S. Lavabre, Yves Bertrand, Michel Renovell, Christian Landrault |
Test configurations to enhance the testability of sequential circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 4th Asian Test Symposium (ATS '95), November 23-24, 1995. Bangalore, India, pp. 160-168, 1995, IEEE Computer Society, 0-8186-7129-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
shift operation, scan register, test operation, modified flip-flops, ISCAS89 benchmarks, multiconfiguration, triconfiguration, dynamic generation, logic testing, controllability, design for testability, design for testability, sequential circuits, sequential circuits, observability, observability, DFT, fault coverage, flip-flops, minimisation, scan designs, test application time, test vector |
43 | Michel Renovell, Florence Azaïs, Yves Bertrand |
A design-for-test technique for multistage analog circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 4th Asian Test Symposium (ATS '95), November 23-24, 1995. Bangalore, India, pp. 113-119, 1995, IEEE Computer Society, 0-8186-7129-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
design-for-test technique, multistage analog circuits, DFT approach, op-amp-based modules, testability resources, transparent paths, external I/O, local I/O, test mode, on-chip digital resources, analog response penalty, controllability, controllability, integrated circuit testing, design for testability, observability, observability, mixed-signal circuits, mixed analogue-digital integrated circuits, test management, production testing |
43 | Mohamed Soufi, Yvon Savaria, Bozena Kaminska |
On the design of at-speed testable VLSI circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 290-295, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
at-speed testable circuits, testable VLSI circuits, application test time, parallel vectors, stuck-at test, observability problems, probe observation point, VLSI, logic testing, integrated circuit testing, design for testability, design-for-testability, logic design, sequential circuits, sequential circuits, observability, fault coverages, integrated circuit design, integrated logic circuits, operational speed, DFT technique |
43 | C. P. Ravikumar, Hemant Joshi |
HISCOAP: a hierarchical testability analysis tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 272-277, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
HISCOAP, hierarchical testability analysis tool, SCOAP measure, gate-level netlist, SCOAP expression diagrams, VLSI, logic testing, controllability, controllability, sequential circuits, sequential circuits, combinational circuits, combinational circuits, observability, observability, circuit analysis computing, integrated logic circuits, VLSI circuits, functional modules, stuck at fault model |
40 | Gian Luca Mariottini, Simone Martini 0002, Magnus Egerstedt |
A switching active sensing strategy to maintain observability for vision-based formation control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2009 IEEE International Conference on Robotics and Automation, ICRA 2009, Kobe, Japan, May 12-17, 2009, pp. 2637-2642, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
40 | Yu Sun 0004, John M. Hollerbach |
Observability index selection for robot calibration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2008 IEEE International Conference on Robotics and Automation, ICRA 2008, May 19-23, 2008, Pasadena, California, USA, pp. 831-836, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Laura Brandán Briones, Alexander Lazovik, Philippe Dague |
Optimizing the System Observability Level for Diagnosability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISoLA ![In: Leveraging Applications of Formal Methods, Verification and Validation, Third International Symposium, ISoLA 2008, Porto Sani, Greece, October 13-15, 2008. Proceedings, pp. 815-830, 2008, Springer, 978-3-540-88478-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Daniel Zelazo, Mehran Mesbahi |
On the observability properties of homogeneous and heterogeneous networked dynamic systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDC ![In: Proceedings of the 47th IEEE Conference on Decision and Control, CDC 2008, December 9-11, 2008, Cancún, Mexico, pp. 2997-3002, 2008, IEEE, 978-1-4244-3123-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Manel Khlif, Mohamed Shawky |
Observability Checking to Enhance Diagnosis of Real Time Electronic Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DS-RT ![In: 12th IEEE/ACM International Symposium on Distributed Simulation and Real-Time Applications, 27-29 October 2008, Vancouver, BC, Canada, Proceedings, pp. 69-74, 2008, IEEE Computer Society, 978-0-7695-3425-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Michael L. Case, Victor N. Kravets, Alan Mishchenko, Robert K. Brayton |
Merging nodes under sequential observability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 540-545, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
synthesis, observable, merge, sequential |
40 | Tahar Zamène Boulmezaoud, José M. Urquiza |
On The Eigenvalues of the Spectral Second Order Differentiation Operator and Application to the Boundary Observability of the Wave Equation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Sci. Comput. ![In: J. Sci. Comput. 31(3), pp. 307-345, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
2000 Mathematics Subject Classification 65N35, 93B07, 93B60, 65D05, 65F15 |
40 | Teresa A. Vidal-Calleja, Mitch Bryson, Salah Sukkarieh, Alberto Sanfeliu, Juan Andrade-Cetto |
On the Observability of Bearing-only SLAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 4114-4119, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Y. Cao, Mingsheng Ying |
Observability and Decentralized Control of Fuzzy Discrete-Event Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Fuzzy Syst. ![In: IEEE Trans. Fuzzy Syst. 14(2), pp. 202-216, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Alessandro D'Innocenzo, Maria Domenica Di Benedetto, Stefano Di Gennaro |
Observability of Hybrid Automata by Abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HSCC ![In: Hybrid Systems: Computation and Control, 9th International Workshop, HSCC 2006, Santa Barbara, CA, USA, March 29-31, 2006, Proceedings, pp. 169-183, 2006, Springer, 3-540-33170-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Daijue Tang, Sharad Malik |
Solving Quantified Boolean Formulas with Circuit Observability Don't Cares. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2006, 9th International Conference, Seattle, WA, USA, August 12-15, 2006, Proceedings, pp. 368-381, 2006, Springer, 3-540-37206-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Chris J. Dafis, Chika Nwankpa |
Characteristics of Degree of Observability Measure for Nonlinear Power Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 38th Hawaii International Conference on System Sciences (HICSS-38 2005), CD-ROM / Abstracts Proceedings, 3-6 January 2005, Big Island, HI, USA, 2005, IEEE Computer Society, 0-7695-2268-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
40 | Jorge Júlvez, Emilio Jiménez, Laura Recalde, Manuel Silva Suárez |
On Observability in Timed Continuous Petri Net Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QEST ![In: 1st International Conference on Quantitative Evaluation of Systems (QEST 2004), 27-30 September 2004, Enschede, The Netherlands, pp. 60-69, 2004, IEEE Computer Society, 0-7695-2185-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Patricia Bouyer, Deepak D'Souza, P. Madhusudan, Antoine Petit 0001 |
Timed Control with Partial Observability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 15th International Conference, CAV 2003, Boulder, CO, USA, July 8-12, 2003, Proceedings, pp. 180-192, 2003, Springer, 3-540-40524-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
40 | Chris J. Dafis, Chika Nwankpa |
Examining characteristics of an observability formulation for nonlinear power systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 395-398, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
40 | Farzan Fallah, Srinivas Devadas, Kurt Keutzer |
OCCOM-efficient computation of observability-based code coveragemetrics for functional verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(8), pp. 1003-1015, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Michel Bidoit, Rolf Hennicker, Alexander Kurz 0001 |
On the Duality between Observability and Reachability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FoSSaCS ![In: Foundations of Software Science and Computation Structures, 4th International Conference, FOSSACS 2001 Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2001 Genova, Italy, April 2-6, 2001, Proceedings, pp. 72-87, 2001, Springer, 3-540-41864-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero |
High-Level Observability for Effective High-Level ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 18th IEEE VLSI Test Symposium (VTS 2000), 30 April - 4 May 2000, Montreal, Canada, pp. 411-416, 2000, IEEE Computer Society, 0-7695-0613-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
40 | Farzan Fallah, Srinivas Devadas, Kurt Keutzer |
OCCOM: Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998., pp. 152-157, 1998, ACM Press, 0-89791-964-5. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
high-level synthesis, telecommunication |
38 | Abdelkrim Nemra, Nabil Aouf |
Robust Airborne 3D Visual Simultaneous Localization and Mapping with Observability and Consistency Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 55(4-5), pp. 345-376, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
EKF SLAM, NH? SLAM, Loop closure, Map management, Consistency, Observability, Stereo vision, Unmanned aerial vehicle, Simultaneous localization and mapping |
38 | Mohamed Ait Mansour |
On the perturbation of the observability equation in linear control systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Glob. Optim. ![In: J. Glob. Optim. 40(1-3), pp. 169-174, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Linear control systems, Quantitative stability, Observability, Perturbation, Ordinary differential equations |
38 | Qi Zhu 0002, Nathan Kitchen, Andreas Kuehlmann, Alberto L. Sangiovanni-Vincentelli |
SAT sweeping with local observability don't-cares. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 229-234, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
SAT sweeping, and/inverter graphs, observability |
38 | Nikhil Saluja, Sunil P. Khatri |
A robust algorithm for approximate compatible observability don't care (CODC) computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 422-427, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
compatible observability don't cares (CODC), multi-level logic optimization, logic synthesis |
38 | Alexandre Sedoglavic |
A probabilistic algorithm to test local algebraic observability in polynomial time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSAC ![In: Proceedings of the 2001 International Symposium on Symbolic and Algebraic Computation, ISSAC 2001, ORCCA & University of Western Ontario, London, Ontario, Canada, July 22-25, 2001, pp. 309-317, 2001, ACM, 1-58113-417-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
seminumerical algorithm, observability, identifiability |
38 | Naotake Kamiura, Yutaka Hata, Nobuyuki Matsui |
Controllability/Observability Measures for Multiple-Valued Test Generation Based on D-Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 30th IEEE International Symposium on Multiple-Valued Logic, ISMVL 2000, Portland, Oregon, USA, May 23-25, 2000, Proceedings, pp. 245-252, 2000, IEEE Computer Society, 0-7695-0692-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
controllability measure, observability measure, test generation, multiple-valued logic, D-algorithm |
38 | Frank F. Hsu, Janak H. Patel |
High-Level Controllability and Observability Analysis for Test Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 13(2), pp. 93-103, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
controllability, observability, high-level test synthesis, behavioral modification |
37 | Nejib Ben Hadj-Alouane, Stéphane Lafrance, Feng Lin 0001, John Mullins, Mohamed Moez Yeddes |
On the verification of intransitive noninterference in multilevel security. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Syst. Man Cybern. Part B ![In: IEEE Trans. Syst. Man Cybern. Part B 35(5), pp. 948-958, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
37 | Yu Zhang, Richard A. Volz, Thomas R. Ioerger |
Observation-based proactive communication in team cooperation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: 4th International Joint Conference on Autonomous Agents and Multiagent Systems (AAMAS 2005), July 25-29, 2005, Utrecht, The Netherlands, pp. 1321-1322, 2005, ACM, 1-59593-094-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
proactive communication, observation |
37 | Cristiana Bolchini, Fabio Salice, Donatella Sciuto |
A novel methodology for designing TSC networks based on the parity bit code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ED&TC ![In: European Design and Test Conference, ED&TC '97, Paris, France, 17-20 March 1997, pp. 440-444, 1997, IEEE Computer Society, 0-8186-7786-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
37 | Vishwani D. Agrawal, Michael L. Bushnell, Qing Lin |
Redundancy Identification Using Transitive Closure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 5th Asian Test Symposium (ATS '96), November 20-22, 1996, Hsinchu, Taiwan, pp. 4-9, 1996, IEEE Computer Society, 0-8186-7478-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
35 | Robert M. Hierons, Hasan Ural |
Checking sequences for distributed test architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Comput. ![In: Distributed Comput. 21(3), pp. 223-238, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Distributed test architecture, Coordination problems, Observability problems, Testing, Checking sequence |
35 | Robert Bodor, Andrew Drenner, Paul R. Schrater, Nikolaos Papanikolopoulos |
Optimal Camera Placement for Automated Surveillance Tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 50(3), pp. 257-295, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Robot/camera placement, Vision-based robotics, Optimization, Sensor networks, Observability, Camera networks |
35 | Hasan Ural, Craig Williams |
Constructing checking sequences for distributed testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Aspects Comput. ![In: Formal Aspects Comput. 18(1), pp. 84-101, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Distributed test architectures, Controllability and observability problems, Test coordination, Finite state machine-based testing, Distributed testing, Checking sequence |
35 | Abdelaâziz Bel Fekih, Abdelhaq El Jai |
Regional Analysis of a Class of Cellular Automata Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACRI ![In: Cellular Automata, 7th International Conference on Cellular Automata, for Research and Industry, ACRI 2006, Perpignan, France, September 20-23, 2006, Proceedings, pp. 48-57, 2006, Springer, 3-540-40929-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Additive real-valued Cellular Automata, Regional controllability, Regional Observability, Sensors, Actuators |
35 | Ahmed Khoumsi |
A Temporal Approach for Testing Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 28(11), pp. 1085-1103, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
distributed systems, controllability, observability, Conformance testing, waiting time, reaction time |
35 | Anish Arora, Rajesh Jagannathan, Yi-Min Wang |
Model-Based Fault Detection in Powerline Networking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings, 2002, IEEE Computer Society, 0-7695-1573-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
hidden state, unobservable transitions, models, formal methods, fault detection, observability, network protocols, finite state automata, X10 |
35 | Norio Kuji, Takako Ishihara |
EB-Testing-Pad Method and Its Evaluation by Actual Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, pp. 179-184, 2001, IEEE Computer Society, 0-7695-1378-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
E-beam tester, stacked vias, testing pads, multi level wiring, CMp, SIMOX/CMOS technology, observability |
35 | Christos A. Papachristou, Mikhail Baklashov |
A test synthesis technique using redundant register transfers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 414-420, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
behavioral variables, conditional statements, redundant register transfers, structural signals, test synthesis technique, testability metrics, graph theory, logic testing, controllability, high level synthesis, VHDL, observability, fault coverage, data path, hardware overhead, behavioral descriptions |
31 | Jorge Júlvez, Emilio Jiménez, Laura Recalde, Manuel Silva Suárez |
On Observability and Design of Observers inTimed Continuous Petri Net Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans Autom. Sci. Eng. ![In: IEEE Trans Autom. Sci. Eng. 5(3), pp. 532-537, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Carlos Bielsa Campos, Harish J. Palanthandalam-Madapusi |
Delayed input and state observability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDC ![In: Proceedings of the 47th IEEE Conference on Decision and Control, CDC 2008, December 9-11, 2008, Cancún, Mexico, pp. 1908-1913, 2008, IEEE, 978-1-4244-3123-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Liang Zhang 0012, Indradeep Ghosh, Michael S. Hsiao |
A Framework for Automatic Design Validation of RTL Circuits Using ATPG and Observability-Enhanced Tag Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(11), pp. 2526-2538, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Kai Chen 0009, Fan Jiang 0005, Chuan-dong Huang, Zongyao Tang, Yang Qin |
Testing a Distributed System: Generating Test Sequences without Potential Controllability and Observability Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN/ICONS/MCL ![In: Fifth International Conference on Networking and the International Conference on Systems (ICN / ICONS / MCL 2006), 23-29 April 2006, Mauritius, pp. 170, 2006, IEEE Computer Society, 0-7695-2552-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Bei Gou |
Observability analysis by measurement Jacobian matrix for state estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 3881-3884, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Mohamed Babaali, Magnus Egerstedt |
Observability of Switched Linear Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HSCC ![In: Hybrid Systems: Computation and Control, 7th International Workshop, HSCC 2004, Philadelphia, PA, USA, March 25-27, 2004, Proceedings, pp. 48-63, 2004, Springer, 3-540-21259-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | René Vidal, Alessandro Chiuso, Stefano Soatto, Shankar Sastry 0001 |
Observability of Linear Hybrid Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HSCC ![In: Hybrid Systems: Computation and Control, 6th International Workshop, HSCC 2003 Prague, Czech Republic, April 3-5, 2003, Proceedings, pp. 526-539, 2003, Springer, 3-540-00913-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Tao Lv 0001, Jianping Fan 0002, Xiaowei Li 0001 |
An Efficient Observability Evaluation Algorithm Based on Factored Use-Def Chains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, pp. 161-167, 2003, IEEE Computer Society, 0-7695-1951-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Yang Xiao |
Stability, controllability and observability of 2-D continuous-discrete systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 468-471, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Timothy Wheeler, Paul S. Graham, Brent E. Nelson, Brad L. Hutchings |
Using Design-Level Scan to Improve FPGA Design Observability and Controllability for Functional Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field-Programmable Logic and Applications, 11th International Conference, FPL 2001, Belfast, Northern Ireland, UK, August 27-29, 2001, Proceedings, pp. 483-492, 2001, Springer, 3-540-42499-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Darko Kirovski, Miodrag Potkonjak, Lisa M. Guerra |
Improving the observability and controllability of datapaths foremulation-based debugging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(11), pp. 1529-1541, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | W. David Ballew, Lauren M. Streb |
Board-level boundary scan: regaining observability with an additional IC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(1), pp. 68-75, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
29 | Jong Chul Lee, Andrew S. Gardner, Roman Lysecky |
Hardware Observability Framework for Minimally Intrusive Online Monitoring of Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECBS ![In: 18th IEEE International Conference and Workshops on the Engineering of Computer-Based Systems, ECBS 2011, Las Vegas, NV, USA, 27-29 April, 2011, pp. 52-60, 2011, IEEE Computer Society, 978-1-4577-0065-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
online system monitoring, verification, testing, validation, observability |
29 | Raza Abbas Syed, Brian Robinson, Laurie A. Williams |
Does Hardware Configuration and Processor Load Impact Software Fault Observability? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST ![In: Third International Conference on Software Testing, Verification and Validation, ICST 2010, Paris, France, April 7-9, 2010, pp. 285-294, 2010, IEEE Computer Society, 978-0-7695-3990-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
failure observability, Software testing, empirical study, observation-based testing |
29 | Sébastien Salva, Issam Rabhi |
A BPEL Observability Enhancement Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: IEEE International Conference on Web Services, ICWS 2010, Miami, Florida, USA, July 5-10, 2010, pp. 638-639, 2010, IEEE Computer Society, 978-0-7695-4128-0. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
enhancement methods, observability, BPEL, testability |
29 | Jose Pablo Escobedo, Christophe Gaston, Pascale Le Gall, Ana R. Cavalli |
Observability and Controllability Issues in Conformance Testing of Web Service Compositions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TestCom/FATES ![In: Testing of Software and Communication Systems, 21st IFIP WG 6.1 International Conference, TESTCOM 2009 and 9th International Workshop, FATES 2009, Eindhoven, The Netherlands, November 2-4, 2009. Proceedings, pp. 217-222, 2009, Springer, 978-3-642-05030-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
observability and controllability, verdict testing report, Web Service composition, Conformance testing |
29 | Masahisa Nakazawa, Susumu Nitta, Kanji Hirabayashi |
Probabilistic fault grading based on activation checking and observability analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 1(3), pp. 235-238, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
activation checking, fault-free simulation, fault grading, statistical fault analysis, controllability, observability, detectability |
29 | Jacob Savir |
Good Controllability and Observability Do Not Guarantee Good Testability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 32(12), pp. 1198-1200, 1983. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
Controllability, observability, random testing, testability, deterministic testing |
28 | Xiaojun Ma, Jing Huang 0001, Cecilia Metra, Fabrizio Lombardi |
Detecting Multiple Faults in One-Dimensional Arrays of Reversible QCA Gates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 25(1), pp. 39-54, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Array testing, Nanotechnology, Emerging technology, Reversible computing, QCA |
28 | Sandip Ray, Warren A. Hunt Jr. |
Connecting pre-silicon and post-silicon verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Proceedings of 9th International Conference on Formal Methods in Computer-Aided Design, FMCAD 2009, 15-18 November 2009, Austin, Texas, USA, pp. 160-163, 2009, IEEE, 978-1-4244-4966-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
28 | Robert M. Hierons |
Testing in the Distributed Test Architecture: An Extended Abstract. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QSIC ![In: Proceedings of the Eighth International Conference on Quality Software, QSIC 2008, 12-13 August 2008, Oxford, UK, pp. 11-14, 2008, IEEE Computer Society, 978-0-7695-3312-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Anthony Harrington, Vinny Cahill |
Domain Modelling for Ubiquitous Computing Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA Workshops (2) ![In: 21st International Conference on Advanced Information Networking and Applications (AINA 2007), Workshops Proceedings, Volume 2, May 21-23, 2007, Niagara Falls, Canada, pp. 326-333, 2007, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Wei Qu, Dan Schonfeld |
Robust Kernel-Based Tracking using Optimal Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2006, October 8-11, Atlanta, Georgia, USA, pp. 1777-1780, 2006, IEEE, 1-4244-0480-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Stavros Tripakis |
Two-Phase Distributed Observation Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSD ![In: Fifth International Conference on Application of Concurrency to System Design (ACSD 2005), 6-9 June 2005, St. Malo, France, pp. 98-105, 2005, IEEE Computer Society, 0-7695-2363-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Seog-Hwan Yoo, Byung-Jae Choi |
A Balanced Model Reduction for T-S Fuzzy Systems with Integral Quadratic Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSKD (1) ![In: Fuzzy Systems and Knowledge Discovery, Second International Conference, FSKD 2005, Changsha, China, August 27-29, 2005, Proceedings, Part I, pp. 802-811, 2005, Springer, 3-540-28312-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Erik Jan Marinissen |
Security vs. Test Quality: Can We Really Only Have One at a Time? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2004 International Test Conference (ITC 2004), October 26-28, 2004, Charlotte, NC, USA, pp. 1411, 2004, IEEE Computer Society, 0-7803-8581-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Seog-Hwan Yoo, Byung-Jae Choi |
A Balanced Model Reduction for T-S Fuzzy Systems with Uncertain Time Varying Parameters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIS ![In: Computational and Information Science, First International Symposium, CIS 2004, Shanghai, China, December 16-18, 2004, Proceedings, pp. 148-153, 2004, Springer, 3-540-24127-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Hasan Ural, Craig Williams |
Generating Checking Sequences for a Distributed Test Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TestCom ![In: Testing of Communicating Systems, 15th IFIP International Conference, TestCom 2003, Sophia Antipolis, France, May 26-28, 2003, Proceedings, pp. 146-162, 2003, Springer, 3-540-40123-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
28 | Samir Boubezari, Eduard Cerny, Bozena Kaminska, Benoit Nadeau-Dostie |
Testability analysis and test-point insertion in RTL VHDL specifications for scan-based BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(9), pp. 1327-1340, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
28 | Sanjay J. Patel, Janak H. Patel |
Effectiveness of heuristics measures for automatic test pattern generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, NV, USA, June, 1986., pp. 547-552, 1986, IEEE Computer Society Press. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
26 | Moez Krichen, Stavros Tripakis |
Conformance testing for real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Methods Syst. Des. ![In: Formal Methods Syst. Des. 34(3), pp. 238-304, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
On-the-fly algorithms, Real-time systems, Test generation, Coverage, Timed automata, Conformance testing, Specification and verification, Partial observability |
26 | Chunbo Chu, Monica Brockmeyer |
Predicate Detection Modality and Semantics in Three Partially Synchronous Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACIS-ICIS ![In: 7th IEEE/ACIS International Conference on Computer and Information Science, IEEE/ACIS ICIS 2008, 14-16 May 2008, Portland, Oregon, USA, pp. 444-450, 2008, IEEE Computer Society, 978-0-7695-3131-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Semantics, Observability, Modality, Partial synchrony, Predicate detection |
26 | Xiuqin Wang, Guangsheng Ma, Hao Wang |
A Novel Method for All Solutions SAT Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNPD ![In: Ninth ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD 2008, In conjunction with Second International Workshop on Advanced Internet Technology and Applications, August 6-8, 2008, Phuket, Thailand, pp. 41-45, 2008, IEEE Computer Society, 978-0-7695-3263-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
All Solutions, Observability Don't Cares, Circuit Structure, Boolean Satisfiability |
26 | Shigemasa Takai, Toshimitsu Ushio |
Supervisory Control of a Class of Concurrent Discrete Event Systems Under Partial Observation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Discret. Event Dyn. Syst. ![In: Discret. Event Dyn. Syst. 15(1), pp. 7-32, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Concurrent discrete event system, concurrent well-posedness, controllability, observability, supervisory control |
26 | Chun-Lung Hsu |
Control and Observation Structure for Analog Circuits with Current Test Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 20(1), pp. 39-44, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
current store cell (CSC), controllability, observability, analog circuit, current-mode |
26 | Omar Rafiq, Leo Cacciari |
Coordination Algorithm for Distributed Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 24(2), pp. 203-211, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
test coordination procedures, controllability, observability, distributed testing, testing architecture |
Displaying result #1 - #100 of 2479 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|