The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for observability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1979 (16) 1980-1985 (18) 1986-1989 (16) 1990-1991 (19) 1992-1993 (17) 1994-1995 (39) 1996 (21) 1997 (20) 1998 (25) 1999 (31) 2000 (50) 2001 (53) 2002 (47) 2003 (68) 2004 (54) 2005 (71) 2006 (88) 2007 (80) 2008 (106) 2009 (102) 2010 (69) 2011 (63) 2012 (64) 2013 (84) 2014 (68) 2015 (96) 2016 (90) 2017 (95) 2018 (109) 2019 (133) 2020 (133) 2021 (149) 2022 (159) 2023 (182) 2024 (44)
Publication types (Num. hits)
article(1214) book(3) data(1) incollection(6) inproceedings(1227) phdthesis(27) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 710 occurrences of 439 keywords

Results
Found 2479 publication records. Showing 2479 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
104Wei Lu, Xiu-Tao Yang, Tao Lv 0001, Xiaowei Li 0001 An Efficient Evaluation and Vector Generation Method for Observability-Enhanced Statement Coverage. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulation, observability, design verification, coverage metrics, vector generation
94Jason Cong, Bin Liu 0006, Zhiru Zhang Behavior-level observability don't-cares and application to low-power behavioral synthesis. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, observability, behavioral synthesis
81Kwang Wee Lee, W. Sardha Wijesoma, Javier Ibañez-Guzmán On the Observability and Observability Analysis of SLAM. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
78Pieter Collins, Jan H. van Schuppen Observability of Piecewise-Affine Hybrid Systems. Search on Bibsonomy HSCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
68Mohammad Abdullah Al Faruque, Thomas Ebi, Jörg Henkel ROAdNoC: runtime observability for an adaptive network on chip architecture. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
68Tai-Ying Jiang, Chien-Nan Jimmy Liu, Jing-Yang Jou Observability Analysis on HDL Descriptions for Effective Functional Validation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
66Tao Lv 0001, Jianping Fan 0002, Xiaowei Li 0001, Ling-Yi Liu Observability Statement Coverage Based on Dynamic Factored Use-Definition Chains for Functional Verification. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic factored use-definition chains, observability, data-flow analysis, design verification, coverage metrics
66Jessica Chen, Hasan Ural Detecting Observability Problems in Distributed Testing. Search on Bibsonomy TestCom The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed test architecture, testing, controllability, Finite state machine, observability
59Arthur J. Krener Observability of vortex flows. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
59Xiao-Yong Wei, Chong-Wah Ngo Fusing semantics, observability, reliability and diversity of concept detectors for video search. Search on Bibsonomy ACM Multimedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF concept-based video search, detector selection and fusion
56Julien Laumonier, Brahim Chaib-draa Partial Local FriendQ Multiagent Learning: Application to Team Automobile Coordination Problem. Search on Bibsonomy Canadian AI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Ruofan Xu, Michael S. Hsiao Embedded core testing using genetic algorithms. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF gate level implementation, user defined logic, random inputs, high level benchmarks, wrapper size, genetic algorithms, genetic algorithms, fault diagnosis, logic testing, controllability, controllability, high level synthesis, automatic test pattern generation, observability, observability, application specific integrated circuits, fault coverage, SOC, test application time, test patterns, embedded core testing, internal state
52Yeong-Ruey Shieh, Cheng-Wen Wu DC control and observation structures for analog circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF level-sensitive scan-design, test points, DC voltage levels, diagnosis capability, calibration process, read-out voltage levels, VLSI, VLSI, fault diagnosis, controllability, controllability, integrated circuit testing, calibration, observability, observability, analog circuits, mixed signal circuits, mixed analogue-digital integrated circuits
50Linthotage Dushantha Lochana Perera, Eric Nettleton On the nonlinear observability and the information form of the SLAM problem. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
50Luis I. Aguirre-Salas, Alejandra Santoyo-Sanchez Observability analysis of interpreted Petri nets under partial state observations using estimations reachability graph. Search on Bibsonomy ETFA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50Mohammad Rezaeian Sensor Scheduling for Optimal Observability Using Estimation Entropy. Search on Bibsonomy PerCom Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Chris J. Dafis, Chika O. Nwankpa A nonlinear observability formulation for power systems incorporating generator dynamics. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
48Antonia M. Sánchez, Francisco J. Montoya Safe Supervisory Control Under Observability Failure. Search on Bibsonomy Discret. Event Dyn. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Observability, Robust control, Supervisory control, Fault-tolerant control
48Jessica Chen, Robert M. Hierons, Hasan Ural Resolving Observability Problems in Distributed Test Architectures. Search on Bibsonomy FORTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testing, controllability, Finite state machine, observability, test architecture
48Marc Joye, Jean-Jacques Quisquater, Sung-Ming Yen, Moti Yung Observability Analysis - Detecting When Improved Cryptosystems Fail. Search on Bibsonomy CT-RSA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF robustness, cryptanalysis, implementations, side-channel attacks, observability, Security analysis, fault analysis, cryptosystems
45Jessica Andrea Carballido, Ignacio Ponzoni, Nélida Beatriz Brignole A Novel Application of Evolutionary Computing in Process Systems Engineering. Search on Bibsonomy EvoCOP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Process-Plant Instrumentation Design, Observability Analysis, Multi-Objective Genetic Algorithm, Combinatorial Optimization Problem, PSE
43Yin-He Su, Ching-Hwa Cheng, Shih-Chieh Chang Novel techniques for improving testability analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF closed-form formulation, implication reasoning, TAIR, tree-structured circuit, logic testing, logic testing, controllability, controllability, built-in self test, automatic test pattern generation, BIST, observability, observability, stuck-at fault, shift registers, testability analysis, test patterns
43S. Lavabre, Yves Bertrand, Michel Renovell, Christian Landrault Test configurations to enhance the testability of sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF shift operation, scan register, test operation, modified flip-flops, ISCAS89 benchmarks, multiconfiguration, triconfiguration, dynamic generation, logic testing, controllability, design for testability, design for testability, sequential circuits, sequential circuits, observability, observability, DFT, fault coverage, flip-flops, minimisation, scan designs, test application time, test vector
43Michel Renovell, Florence Azaïs, Yves Bertrand A design-for-test technique for multistage analog circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF design-for-test technique, multistage analog circuits, DFT approach, op-amp-based modules, testability resources, transparent paths, external I/O, local I/O, test mode, on-chip digital resources, analog response penalty, controllability, controllability, integrated circuit testing, design for testability, observability, observability, mixed-signal circuits, mixed analogue-digital integrated circuits, test management, production testing
43Mohamed Soufi, Yvon Savaria, Bozena Kaminska On the design of at-speed testable VLSI circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF at-speed testable circuits, testable VLSI circuits, application test time, parallel vectors, stuck-at test, observability problems, probe observation point, VLSI, logic testing, integrated circuit testing, design for testability, design-for-testability, logic design, sequential circuits, sequential circuits, observability, fault coverages, integrated circuit design, integrated logic circuits, operational speed, DFT technique
43C. P. Ravikumar, Hemant Joshi HISCOAP: a hierarchical testability analysis tool. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HISCOAP, hierarchical testability analysis tool, SCOAP measure, gate-level netlist, SCOAP expression diagrams, VLSI, logic testing, controllability, controllability, sequential circuits, sequential circuits, combinational circuits, combinational circuits, observability, observability, circuit analysis computing, integrated logic circuits, VLSI circuits, functional modules, stuck at fault model
40Gian Luca Mariottini, Simone Martini 0002, Magnus Egerstedt A switching active sensing strategy to maintain observability for vision-based formation control. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Yu Sun 0004, John M. Hollerbach Observability index selection for robot calibration. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Laura Brandán Briones, Alexander Lazovik, Philippe Dague Optimizing the System Observability Level for Diagnosability. Search on Bibsonomy ISoLA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Daniel Zelazo, Mehran Mesbahi On the observability properties of homogeneous and heterogeneous networked dynamic systems. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Manel Khlif, Mohamed Shawky Observability Checking to Enhance Diagnosis of Real Time Electronic Systems. Search on Bibsonomy DS-RT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Michael L. Case, Victor N. Kravets, Alan Mishchenko, Robert K. Brayton Merging nodes under sequential observability. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synthesis, observable, merge, sequential
40Tahar Zamène Boulmezaoud, José M. Urquiza On The Eigenvalues of the Spectral Second Order Differentiation Operator and Application to the Boundary Observability of the Wave Equation. Search on Bibsonomy J. Sci. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 2000 Mathematics Subject Classification 65N35, 93B07, 93B60, 65D05, 65F15
40Teresa A. Vidal-Calleja, Mitch Bryson, Salah Sukkarieh, Alberto Sanfeliu, Juan Andrade-Cetto On the Observability of Bearing-only SLAM. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Y. Cao, Mingsheng Ying Observability and Decentralized Control of Fuzzy Discrete-Event Systems. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Alessandro D'Innocenzo, Maria Domenica Di Benedetto, Stefano Di Gennaro Observability of Hybrid Automata by Abstraction. Search on Bibsonomy HSCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Daijue Tang, Sharad Malik Solving Quantified Boolean Formulas with Circuit Observability Don't Cares. Search on Bibsonomy SAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Chris J. Dafis, Chika Nwankpa Characteristics of Degree of Observability Measure for Nonlinear Power Systems. Search on Bibsonomy HICSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Jorge Júlvez, Emilio Jiménez, Laura Recalde, Manuel Silva Suárez On Observability in Timed Continuous Petri Net Systems. Search on Bibsonomy QEST The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Patricia Bouyer, Deepak D'Souza, P. Madhusudan, Antoine Petit 0001 Timed Control with Partial Observability. Search on Bibsonomy CAV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Chris J. Dafis, Chika Nwankpa Examining characteristics of an observability formulation for nonlinear power systems. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Farzan Fallah, Srinivas Devadas, Kurt Keutzer OCCOM-efficient computation of observability-based code coveragemetrics for functional verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Michel Bidoit, Rolf Hennicker, Alexander Kurz 0001 On the Duality between Observability and Reachability. Search on Bibsonomy FoSSaCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero High-Level Observability for Effective High-Level ATPG. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
40Farzan Fallah, Srinivas Devadas, Kurt Keutzer OCCOM: Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level synthesis, telecommunication
38Abdelkrim Nemra, Nabil Aouf Robust Airborne 3D Visual Simultaneous Localization and Mapping with Observability and Consistency Analysis. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF EKF SLAM, NH? SLAM, Loop closure, Map management, Consistency, Observability, Stereo vision, Unmanned aerial vehicle, Simultaneous localization and mapping
38Mohamed Ait Mansour On the perturbation of the observability equation in linear control systems. Search on Bibsonomy J. Glob. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Linear control systems, Quantitative stability, Observability, Perturbation, Ordinary differential equations
38Qi Zhu 0002, Nathan Kitchen, Andreas Kuehlmann, Alberto L. Sangiovanni-Vincentelli SAT sweeping with local observability don't-cares. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SAT sweeping, and/inverter graphs, observability
38Nikhil Saluja, Sunil P. Khatri A robust algorithm for approximate compatible observability don't care (CODC) computation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compatible observability don't cares (CODC), multi-level logic optimization, logic synthesis
38Alexandre Sedoglavic A probabilistic algorithm to test local algebraic observability in polynomial time. Search on Bibsonomy ISSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF seminumerical algorithm, observability, identifiability
38Naotake Kamiura, Yutaka Hata, Nobuyuki Matsui Controllability/Observability Measures for Multiple-Valued Test Generation Based on D-Algorithm. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF controllability measure, observability measure, test generation, multiple-valued logic, D-algorithm
38Frank F. Hsu, Janak H. Patel High-Level Controllability and Observability Analysis for Test Synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF controllability, observability, high-level test synthesis, behavioral modification
37Nejib Ben Hadj-Alouane, Stéphane Lafrance, Feng Lin 0001, John Mullins, Mohamed Moez Yeddes On the verification of intransitive noninterference in multilevel security. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Yu Zhang, Richard A. Volz, Thomas R. Ioerger Observation-based proactive communication in team cooperation. Search on Bibsonomy AAMAS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF proactive communication, observation
37Cristiana Bolchini, Fabio Salice, Donatella Sciuto A novel methodology for designing TSC networks based on the parity bit code. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
37Vishwani D. Agrawal, Michael L. Bushnell, Qing Lin Redundancy Identification Using Transitive Closure. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
35Robert M. Hierons, Hasan Ural Checking sequences for distributed test architectures. Search on Bibsonomy Distributed Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Distributed test architecture, Coordination problems, Observability problems, Testing, Checking sequence
35Robert Bodor, Andrew Drenner, Paul R. Schrater, Nikolaos Papanikolopoulos Optimal Camera Placement for Automated Surveillance Tasks. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Robot/camera placement, Vision-based robotics, Optimization, Sensor networks, Observability, Camera networks
35Hasan Ural, Craig Williams Constructing checking sequences for distributed testing. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Distributed test architectures, Controllability and observability problems, Test coordination, Finite state machine-based testing, Distributed testing, Checking sequence
35Abdelaâziz Bel Fekih, Abdelhaq El Jai Regional Analysis of a Class of Cellular Automata Models. Search on Bibsonomy ACRI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Additive real-valued Cellular Automata, Regional controllability, Regional Observability, Sensors, Actuators
35Ahmed Khoumsi A Temporal Approach for Testing Distributed Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed systems, controllability, observability, Conformance testing, waiting time, reaction time
35Anish Arora, Rajesh Jagannathan, Yi-Min Wang Model-Based Fault Detection in Powerline Networking. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hidden state, unobservable transitions, models, formal methods, fault detection, observability, network protocols, finite state automata, X10
35Norio Kuji, Takako Ishihara EB-Testing-Pad Method and Its Evaluation by Actual Devices. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF E-beam tester, stacked vias, testing pads, multi level wiring, CMp, SIMOX/CMOS technology, observability
35Christos A. Papachristou, Mikhail Baklashov A test synthesis technique using redundant register transfers. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF behavioral variables, conditional statements, redundant register transfers, structural signals, test synthesis technique, testability metrics, graph theory, logic testing, controllability, high level synthesis, VHDL, observability, fault coverage, data path, hardware overhead, behavioral descriptions
31Jorge Júlvez, Emilio Jiménez, Laura Recalde, Manuel Silva Suárez On Observability and Design of Observers inTimed Continuous Petri Net Systems. Search on Bibsonomy IEEE Trans Autom. Sci. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Carlos Bielsa Campos, Harish J. Palanthandalam-Madapusi Delayed input and state observability. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Liang Zhang 0012, Indradeep Ghosh, Michael S. Hsiao A Framework for Automatic Design Validation of RTL Circuits Using ATPG and Observability-Enhanced Tag Coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Kai Chen 0009, Fan Jiang 0005, Chuan-dong Huang, Zongyao Tang, Yang Qin Testing a Distributed System: Generating Test Sequences without Potential Controllability and Observability Problems. Search on Bibsonomy ICN/ICONS/MCL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Bei Gou Observability analysis by measurement Jacobian matrix for state estimation. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Mohamed Babaali, Magnus Egerstedt Observability of Switched Linear Systems. Search on Bibsonomy HSCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31René Vidal, Alessandro Chiuso, Stefano Soatto, Shankar Sastry 0001 Observability of Linear Hybrid Systems. Search on Bibsonomy HSCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Tao Lv 0001, Jianping Fan 0002, Xiaowei Li 0001 An Efficient Observability Evaluation Algorithm Based on Factored Use-Def Chains. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Yang Xiao Stability, controllability and observability of 2-D continuous-discrete systems. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Timothy Wheeler, Paul S. Graham, Brent E. Nelson, Brad L. Hutchings Using Design-Level Scan to Improve FPGA Design Observability and Controllability for Functional Verification. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Darko Kirovski, Miodrag Potkonjak, Lisa M. Guerra Improving the observability and controllability of datapaths foremulation-based debugging. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31W. David Ballew, Lauren M. Streb Board-level boundary scan: regaining observability with an additional IC. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
29Jong Chul Lee, Andrew S. Gardner, Roman Lysecky Hardware Observability Framework for Minimally Intrusive Online Monitoring of Embedded Systems. Search on Bibsonomy ECBS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF online system monitoring, verification, testing, validation, observability
29Raza Abbas Syed, Brian Robinson, Laurie A. Williams Does Hardware Configuration and Processor Load Impact Software Fault Observability? Search on Bibsonomy ICST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF failure observability, Software testing, empirical study, observation-based testing
29Sébastien Salva, Issam Rabhi A BPEL Observability Enhancement Method. Search on Bibsonomy ICWS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF enhancement methods, observability, BPEL, testability
29Jose Pablo Escobedo, Christophe Gaston, Pascale Le Gall, Ana R. Cavalli Observability and Controllability Issues in Conformance Testing of Web Service Compositions. Search on Bibsonomy TestCom/FATES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF observability and controllability, verdict testing report, Web Service composition, Conformance testing
29Masahisa Nakazawa, Susumu Nitta, Kanji Hirabayashi Probabilistic fault grading based on activation checking and observability analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF activation checking, fault-free simulation, fault grading, statistical fault analysis, controllability, observability, detectability
29Jacob Savir Good Controllability and Observability Do Not Guarantee Good Testability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Controllability, observability, random testing, testability, deterministic testing
28Xiaojun Ma, Jing Huang 0001, Cecilia Metra, Fabrizio Lombardi Detecting Multiple Faults in One-Dimensional Arrays of Reversible QCA Gates. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Array testing, Nanotechnology, Emerging technology, Reversible computing, QCA
28Sandip Ray, Warren A. Hunt Jr. Connecting pre-silicon and post-silicon verification. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Robert M. Hierons Testing in the Distributed Test Architecture: An Extended Abstract. Search on Bibsonomy QSIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Anthony Harrington, Vinny Cahill Domain Modelling for Ubiquitous Computing Applications. Search on Bibsonomy AINA Workshops (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Wei Qu, Dan Schonfeld Robust Kernel-Based Tracking using Optimal Control. Search on Bibsonomy ICIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Stavros Tripakis Two-Phase Distributed Observation Problems. Search on Bibsonomy ACSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Seog-Hwan Yoo, Byung-Jae Choi A Balanced Model Reduction for T-S Fuzzy Systems with Integral Quadratic Constraints. Search on Bibsonomy FSKD (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Erik Jan Marinissen Security vs. Test Quality: Can We Really Only Have One at a Time? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Seog-Hwan Yoo, Byung-Jae Choi A Balanced Model Reduction for T-S Fuzzy Systems with Uncertain Time Varying Parameters. Search on Bibsonomy CIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Hasan Ural, Craig Williams Generating Checking Sequences for a Distributed Test Architecture. Search on Bibsonomy TestCom The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Samir Boubezari, Eduard Cerny, Bozena Kaminska, Benoit Nadeau-Dostie Testability analysis and test-point insertion in RTL VHDL specifications for scan-based BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Sanjay J. Patel, Janak H. Patel Effectiveness of heuristics measures for automatic test pattern generation. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
26Moez Krichen, Stavros Tripakis Conformance testing for real-time systems. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF On-the-fly algorithms, Real-time systems, Test generation, Coverage, Timed automata, Conformance testing, Specification and verification, Partial observability
26Chunbo Chu, Monica Brockmeyer Predicate Detection Modality and Semantics in Three Partially Synchronous Models. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Semantics, Observability, Modality, Partial synchrony, Predicate detection
26Xiuqin Wang, Guangsheng Ma, Hao Wang A Novel Method for All Solutions SAT Problem. Search on Bibsonomy SNPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF All Solutions, Observability Don't Cares, Circuit Structure, Boolean Satisfiability
26Shigemasa Takai, Toshimitsu Ushio Supervisory Control of a Class of Concurrent Discrete Event Systems Under Partial Observation. Search on Bibsonomy Discret. Event Dyn. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Concurrent discrete event system, concurrent well-posedness, controllability, observability, supervisory control
26Chun-Lung Hsu Control and Observation Structure for Analog Circuits with Current Test Data. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF current store cell (CSC), controllability, observability, analog circuit, current-mode
26Omar Rafiq, Leo Cacciari Coordination Algorithm for Distributed Testing. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF test coordination procedures, controllability, observability, distributed testing, testing architecture
Displaying result #1 - #100 of 2479 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license