The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for placement with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1974 (19) 1975-1976 (15) 1977-1979 (22) 1980-1981 (16) 1982-1983 (24) 1984 (27) 1985 (26) 1986 (26) 1987 (32) 1988 (59) 1989 (61) 1990 (83) 1991 (70) 1992 (68) 1993 (64) 1994 (105) 1995 (111) 1996 (112) 1997 (139) 1998 (171) 1999 (197) 2000 (234) 2001 (257) 2002 (302) 2003 (397) 2004 (451) 2005 (570) 2006 (603) 2007 (649) 2008 (669) 2009 (551) 2010 (388) 2011 (436) 2012 (449) 2013 (566) 2014 (551) 2015 (643) 2016 (643) 2017 (791) 2018 (838) 2019 (982) 2020 (922) 2021 (962) 2022 (978) 2023 (949) 2024 (223)
Publication types (Num. hits)
article(6401) book(10) data(5) incollection(69) inproceedings(9778) phdthesis(188)
Venues (Conferences, Journals, ...)
CoRR(860) DAC(375) IEEE Trans. Comput. Aided Des....(334) ICCAD(252) IEEE Access(221) ISPD(208) GLOBECOM(171) ICC(167) ASP-DAC(163) DATE(127) Sensors(123) ICRA(109) INFOCOM(102) FPL(101) ACC(94) FPGA(88) More (+10 of total 2867)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5436 occurrences of 2452 keywords

Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
97Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace 3.0: A Fast Multilevel Quadratic Placement Algorithm with Placement Congestion Control. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF legalization technique, FastPlace 3.0, multilevel quadratic placement algorithm, placement congestion control, large-scale mixed-size designs, multilevel global placement framework, two-level clustering scheme, iterative local refinement, placement blockages, placement congestion constraints
75Viral Shah, Sourav Bhattacharya Fault propagation analysis based variable length checkpoint placement for fault-tolerant parallel and distributed systems. Search on Bibsonomy COMPSAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fault propagation analysis based variable length checkpoint placement, fault tolerant parallel systems, optimal checkpoint placement strategies, failure propagation analysis, distributed rollback recovery system, FPA based checkpoint placement strategy, task grouping/allocation, loop stabilization aspects, message communication instructions, checkpoint placement strategy, message send/receive regions, FPA process, checkpoint placement strategies, cyclic relationship, distributed systems, parallel algorithm, fast Fourier transform, FFT, recursion, distributed programs, task allocation, system recovery, link failures
75Andrey Ayupov, Alexander Marchenko, Vladimir Tiourin An analytical approach to placement legalization. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF placement legalization, placement spreading, analytical placement, detailed placement
74Majid Sarrafzadeh, Maogang Wang NRG: global and detailed placement. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF NRG, Global Placement, Placement, Detailed Placement
70Ravi Varadarajan Convergence of placement technology in physical synthesis: is placement really a point tool? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
68Hemant K. Bhargava, Juan Feng Paid placement strategies for internet search engines. Search on Bibsonomy WWW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF information gatekeepers, paid placement, search engines, bias, promotion
65Shin'ichi Wakabayashi, Nobuyuki Iwauchi, Hajime Kubota A hierarchical standard cell placement method based on a new cluster placement model. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
65John A. Chandy, Prithviraj Banerjee Parallel simulated annealing strategies for VLSI cell placement. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel simulated annealing strategies, VLSI cell placement, cell placement annealing, multiple Markov chains, parallel moves approach, parallel algorithms, VLSI, simulated annealing, Markov processes, VLSI design, circuit layout CAD, integrated circuit layout, speculative computation, standard cell placement
63Herman Schmit, Amit Gupta, Radu Ciobanu Placement challenges for structured ASICs. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF field programmable gate arrays, placement, structured ASICs
63Pongstorn Maidee, Cristinel Ababei, Kia Bazargan Timing-driven partitioning-based placement for island style FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
63Bill Halpin, Naresh Sehgal, C. Y. Roger Chen Detailed Placement with Net Length Constraints. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
63Thomas J. Murray 0002, A. Wayne Madison, James Westall Lookahead page placement. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
60Pak K. Chan, Martine D. F. Schlag Parallel placement for field-programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF parallel placement, FPGAs, timing-driven placement, analytical placement
59Tung-Chieh Chen, Yi-Lin Chuang, Yao-Wen Chang X-architecture placement based on effective wire models. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF X architecture, partitioning, placement, physical design, Steiner tree, min cut, net weighting
59Andrew B. Kahng, Sherief Reda, Qinke Wang APlace: a general analytic placement framework. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed size, congestion, multi-level, analytical placement
59Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh Routability driven white space allocation for fixed-die standard-cell placement. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement, physical design, routability
58Gi-Joon Nam, Mehmet Can Yildiz, David Z. Pan, Patrick H. Madden ISPD placement contest updates and ISPD 2007 global routing contest. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
58Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh Routability-driven white space allocation for fixed-die standard-cell placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
56Prashant Saxena, Bill Halpin Modeling repeaters explicitly within analytical placement. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, placement, scaling, buffering, repeater insertion, force-directed placement, analytical placement
56Pongstorn Maidee, Cristinel Ababei, Kia Bazargan Fast timing-driven partitioning-based placement for island style FPGAs. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA placement, partitioning based placement, FPGAs, timing-driven placement
55Sooyong Kang, Sungwoo Hong, Youjip Won Storage technique for real-time streaming of layered video. Search on Bibsonomy Multim. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Layered encoding, Multimedia, File system, Storage, Scalable streaming
55Renato Fernandes Hentschke, Guilherme Flach, Felipe Pinto, Ricardo Reis 0001 Quadratic placement for 3d circuits using z-cell shifting, 3d iterative refinement and simulated annealing. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3d circuits, cell shifting, placement, quadratic placement
55Tony F. Chan, Jason Cong, Michail Romesis, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 mPL6: a robust multilevel mixed-size placement engine. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed-size placement, legalization, helmholtz equation, force-directed placement, multilevel optimization
54Jason Cong, Guojie Luo An analytical placer for mixed-size 3D placement. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF placement, 3D integration, analytical method
54Philip Chong, Christian Szegedy A morphing approach to address placement stability. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF incremental placement, stability, morphing
54Tung-Chieh Chen, Tien-Chang Hsu, Zhe-Wei Jiang, Yao-Wen Chang NTUplace: a ratio partitioning based placement algorithm for large-scale mixed-size designs. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mincut, ratio cut, placement
53Haixia Yan, Zhuoyuan Li, Xianlong Hong, Qiang Zhou 0001 Unified Quadratic Programming Approach For 3-D Mixed Mode Placement. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Deshanand P. Singh, Stephen Dean Brown Integrated retiming and placement for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
53R. V. Raj, N. S. Murty, P. S. Nagendra Rao, Lalit M. Patnaik Effective Heuristics for Timing Driven Constructive Placement. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
53Daniel Alexander Ford, Stavros Christodoulakis Optimal Placement of High-Probability Randomly Retrieved Blocks on CLV Optical Discs. Search on Bibsonomy ACM Trans. Inf. Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF performance, management
52Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace: an analytical placer for mixed-mode designs. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed-mode placement, floorplanning, analytical placement
52Sung-Woo Hur, Tung Cao, Karthik Rajagopal, Yegna Parasuram, Amit Chowdhary, Vladimir Tiourin, Bill Halpin Force directed mongrel with physical net constraints. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF mongrel, net constraints, timing driven placement, force directed placement
52Haixia Yan, Qiang Zhou 0001, Xianlong Hong Efficient Thermal Aware Placement Approach Integrated with 3D DCT Placement Algorithm. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF placement, DCT, 3D, thermal
51Raoul F. Badaoui, Ranga Vemuri Multi-Placement Structures for Fast and Optimized Placement in Analog Circuit Synthesis. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Reactive NUCA: near-optimal block placement and replication in distributed caches. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF block migration, block placement, block replication, cache indexing, cache lookup, non-uniform cache access, nuca, r-nuca, reactive nuca, rotational interleaving, cache, replication, chip multiprocessor, cmp, placement, multicore, multi-core, migration, cache coherence, data replication, coherence, interleaving, data migration, data placement, shared cache, cache management, lookup, last-level cache, private cache
50Tony F. Chan, Jason Cong, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 mPL6: enhanced multilevel mixed-size placement. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF mixed-size placement, legalization, Helmholtz equation, force-directed placement, multilevel optimization
50Faris H. Khundakjie, Patrick H. Madden, Nael B. Abu-Ghazaleh, Mehmet Can Yildiz Parallel Standard Cell Placement on a Cluster of Workstations. Search on Bibsonomy CLUSTER The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Partitioning based Placement, Parallel VLSI Placement, Message Passing Applications, Standard Cell
50Michael Marchetti, Leonidas I. Kontothanassis, Ricardo Bianchini, Michael L. Scott Using simple page placement policies to reduce the cost of cache fills in coherent shared-memory systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF page placement policies, cache fills, OS-based page placement, page replication, performance, shared memory systems, shared-memory systems, storage management, operating systems (computers), cache storage, paged storage, distributed shared memory multiprocessors, page migration
49Cristinel Ababei Parallel placement for FPGAs revisited. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga placement, multithreading, parallel simulated annealing
49Deepak Ganesan, Razvan Cristescu, Baltasar Beferull-Lozano Power-efficient sensor placement and transmission structure for data gathering under distortion constraints. Search on Bibsonomy ACM Trans. Sens. Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensing distortion, sensor node placement, Sensor networks, energy efficiency, information theory, data gathering
49Alexei A. Karve, Tracy Kimbrel, Giovanni Pacifici, Mike Spreitzer, Malgorzata Steinder, Maxim Sviridenko, Asser N. Tantawi Dynamic placement for clustered web applications. Search on Bibsonomy WWW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic application placement, performance management
49Saurabh N. Adya, Igor L. Markov Combinatorial techniques for mixed-size placement. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, placement, floorplanning
49Qinghua Liu, Malgorzata Marek-Sadowska A congestion-driven placement framework with local congestion prediction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cell padding, congestion prediction, placement migration
49Christophe Guillon, Fabrice Rastello, Thierry Bidault, Florent Bouchez Procedure placement using temporal-ordering information: dealing with code size expansion. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF min-matching, profiling, instruction cache, Hamiltonian-path, cache miss, code size, code placement
49Jason Cong, Michail Romesis, Min Xie 0004 Optimality, scalability and stability study of partitioning and placement algorithms. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimality, scalability, stability, partitioning, placement
49Bo Hu 0006, Malgorzata Marek-Sadowska Fine granularity clustering for large scale placement problems. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clustering, placement
49Guang-Ming Wu, Jai-Ming Lin, Yao-Wen Chang Performance-driven placement for dynamically reconfigurable FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable gate array, placement, dynamically reconfigurable, layout, Computer-aided design of VLSI
49Tung-Chieh Chen, Yi-Lin Chuang, Yao-Wen Chang Effective Wire Models for X-Architecture Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Min Pan, Chris C. N. Chu IPR: An Integrated Placement and Routing Algorithm. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Saurabh N. Adya, S. Chaturvedi, Jarrod A. Roy, David A. Papa, Igor L. Markov Unification of partitioning, placement and floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
49Stelian Alupoaei, Srinivas Katkoori Net-based force-directed macrocell placement for wirelength optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
49Russell Tessier Fast placement approaches for FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, synthesis, layout, Computer-aided design of VLSI
49Stephen J. Sheel, Deborah Vrooman, René S. Renner, Shanda K. Dawsey A Comparison of Neural Networks and Classical Discriminant Analysis in Predicting Students' Mathematics Placement Examination Scores. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
48Andrew B. Kahng, Qinke Wang Implementation and extensibility of an analytic placer. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF I/O-Core co-placement, hierarchical placement, congestion, geometric constraints, analytical placement
48Wonjoon Choi, Kia Bazargan Hierarchical Global Floorplacement Using Simulated Annealing and Network Flow Area Migration. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF floorplacement, global placement, area migration, Design, Algorithms, simulated annealing, Management, Floorplanning, network flow, hierarchical, Placement and routing
47Yiu-Cheong Tam, Evangeline F. Y. Young, Chris C. N. Chu Analog placement with symmetry and other placement constraints. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF symmetry constraints, placement, analog circuits, sequence-pair
47Natarajan Viswanathan, Min Pan, Chris Chu FastPlace: An Efficient Multilevel Force-Directed Placement Algorithm. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Bo Hu 0006, Malgorzata Marek-Sadowska mFAR: Multilevel Fixed-Points Addition-Based VLSI Placement. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Tao Luo 0002, David Z. Pan DPlace: Anchor Cell-Based Quadratic Placement with Linear Objective. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Tony F. Chan, Kenton Sze, Joseph R. Shinnerl, Min Xie 0004 mPL6: Enhanced Multilevel Mixed-Size Placement with Congestion Control. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Jarrod A. Roy, David A. Papa, Igor L. Markov Capo: Congestion-Driven Placement for Standard-cell and RTL Netlists with Incremental Capability. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Taraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi, Maogang Wang, Majid Sarrafzadeh Congestion Minimization in Modern Placement Circuits. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Gi-Joon Nam, Charles J. Alpert, Paul G. Villarrubia ISPD 2005/2006 Placement Benchmarks. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Jason Cong, Michalis Romesis, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 Locality and Utilization in Placement Suboptimality. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Stelian Alupoaei, Srinivas Katkoori Net Clustering Based Macrocell Placement. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Macrocell placement, net clustering, net placement, net prioritization, force-directed placement, iterative improvement
46Michael Eick, Martin Strasser, Helmut E. Graeb, Ulf Schlichtmann Automatic generation of hierarchical placement rules for analog integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hierarchical placement rules, constraints, placement, analog integrated circuits
46Natarajan Viswanathan, Chris C. N. Chu FastPlace: efficient analytical placement using cell shifting, iterative local refinement and a hybrid net model. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF net models, analytical placement, standard cell placement
46Ulrich Brenner, Anna Pauli, Jens Vygen Almost optimum placement legalization by minimum cost flow and dynamic programming. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF placement, legalization, minimum-cost flow, detailed placement
46Nikolaos G. Bourbakis, Mohammad Mortazavi An efficient building block layout methodology for compact placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF building block layout methodology, compact placement, synthesis placement, GEOMETRIA, geometric reshapings, VLSI regulation, functional performance, connection lines, occupied chip area, neighboring relations, dead space, open holes, channels merging process, legal overlapping, VLSI, formal languages, formal language, network routing, circuit layout CAD, compaction, global routing, integrated circuit layout, integrated circuit interconnections, local routing
45Yi-Lin Chuang, Sangmin Kim, Youngsoo Shin, Yao-Wen Chang Pulsed-latch aware placement for timing-integrity optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF pulsed latch, placement, physical design
45Jackey Z. Yan, Natarajan Viswanathan, Chris Chu Handling complexities in modern large-scale mixed-size placement. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF incremental placement, mixed-size design, floorplanning
45Zhe-Wei Jiang, Bor-Yiing Su, Yao-Wen Chang Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF placement, physical design, routability
45Robert Bodor, Andrew Drenner, Paul R. Schrater, Nikolaos Papanikolopoulos Optimal Camera Placement for Automated Surveillance Tasks. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Robot/camera placement, Vision-based robotics, Optimization, Sensor networks, Observability, Camera networks
45Jaydeep Marathe, Frank Mueller 0001 Hardware profile-guided automatic page placement for ccNUMA systems. Search on Bibsonomy PPoPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF page placement, NUMA, profile-guided optimization, hardware performance monitoring
45Jason Cong, Joseph R. Shinnerl, Min Xie 0004, Tim Kong, Xin Yuan 0005 Large-scale circuit placement. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimality, scalability, Placement, large-scale optimization
45Bo Yao, Hongyu Chen, Chung-Kuan Cheng, Nan-Chi Chou, Lung-Tien Liu, Peter Suaris Unified quadratic programming approach for mixed mode placement. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed mode placement, discrete cosine transformation, quadratic programming
45Miodrag Vujkovic, David Wadkins, William Swartz, Carl Sechen Efficient timing closure without timing driven placement and routing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital design flow, gate sizing, placement and routing, timing closure
45Juan Feng, Hemant K. Bhargava, David M. Pennock Comparison of allocation rules for paid placement advertising in search engines. Search on Bibsonomy ICEC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF information gatekeepers, paid placement, slotting auctions, sponsored listings, search engines
45Jason Cong, Xin Yuan 0005 Multilevel global placement with retiming. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF physical hierarchy, placement, retiming, deep sub-micron
45Madhukar R. Korupolu, Michael Dahlin Coordinated Placement and Replacement for Large-Scale Distributed Caches. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Cache, web, distributed, cooperative, placement, hierarchical, replacement
45Nicholas C. Gloy, Michael D. Smith 0001 Procedure placement using temporal-ordering information. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF temporal profiling, working-set optimization, conflict misses, code placement
44Val Pevzner, Andrew A. Kennings, Andy Fox Physical optimization for FPGAs using post-placement topology rewriting. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, timing optimization, physical synthesis
44Chen Li 0004, Min Xie 0004, Cheng-Kok Koh, Jason Cong, Patrick H. Madden Routability-Driven Placement and White Space Allocation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Jason Cong, Min Xie 0004 A robust detailed placement for mixed-size IC designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Haoxing Ren, David Zhigang Pan, Paul Villarrubia True crosstalk aware incremental placement with noise map. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Benjamin Watson 0001, Neff Walker, Peter Woytiuk, William Ribarsky Maintaining Usability During 3D Placement Despite Delay. Search on Bibsonomy VR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
44Xiaoping Tang, D. F. Wong 0001 FAST-SP: a fast algorithm for block placement based on sequence pair. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
44Charles J. Alpert, Tony F. Chan, Dennis J.-H. Huang, Igor L. Markov, Kenneth Yan Quadratic Placement Revisited. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
44David K. Lowenthal, Gregory R. Andrews An Adaptive Approach to Data Placement. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
44Michael D. Osterman, Michael G. Pecht Placement for reliability and routability of convectively cooled PWBs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
42Franz-Josef Brandenburg On the Complexity of Optimal Drawings of Graphs. Search on Bibsonomy WG The full citation details ... 1989 DBLP  DOI  BibTeX  RDF placement graph grammars, NP-completeness, embeddings, graph grammars, graph layout
42Andrew B. Kahng How to get real mad. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design-aware manufacturing, integrated circuit physical design, manufacturing-aware design, performance analysis, design for manufacturability
42Jarrod A. Roy, Saurabh N. Adya, David A. Papa, Igor L. Markov Min-cut floorplacement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Steve McKeever, Wayne Luk Provably-correct hardware compilation tools based on pass separation techniques. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Ashutosh Chakraborty, Anurag Kumar 0002, David Z. Pan RegPlace: a high quality open-source placement framework for structured ASICs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF global placement, regular ASIC, FPGA, placement, legalization, structured ASIC
41Sherief Reda, Amit Chowdhary Effective linear programming based placement methods. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF relative placement, whitespace management, linear programming, timing-driven placement
41Ulrich Brenner, Markus Struzyna Faster and better global placement by a new transportation algorithm. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI-placement, global placement, transportation problem
41Ali Ahmadinia, Christophe Bobda, Sándor P. Fekete, Jürgen Teich, Jan van der Veen Optimal Routing-Conscious Dynamic Placement for Reconfigurable Devices. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF field-programable gate array (FPGA), occupied space manager (OSM), routing-conscious placement, Manhattan metric, line sweep technique, optimal running time, lower bounds, Reconfigurable computing, module placement
41Bernd Obermeier, Frank M. Johannes Quadratic placement using an improved timing model. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Steiner tree net model, optimization potential, sensitivity, Quadratic placement, timing driven placement
41Karthik Rajagopal, Tal Shaked, Yegna Parasuram, Tung Cao, Amit Chowdhary, Bill Halpin Timing driven force directed placement with physical net constraints. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF net constraints, timing driven placement, force directed placement
Displaying result #1 - #100 of 16451 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license