|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 749 occurrences of 537 keywords
|
|
|
Results
Found 822 publication records. Showing 822 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
56 | Scott J. Weber, Kurt Keutzer |
Using minimal minterms to represent programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 63-68, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Boolean function representation, instruction set extraction, Boolean satisfiability |
40 | Yan Lin 0001, Fei Li 0003, Lei He 0001 |
Power modeling and architecture evaluation for FPGA with novel circuits for Vdd programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, FPGA 2005, Monterey, California, USA, February 20-22, 2005, pp. 199-207, 2005, ACM, 1-59593-029-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
FPGA power model, Vdd programmability, low power, FPGA architecture, dual-Vdd |
39 | Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos |
Factory: An Object-Oriented Parallel Programming Substrate for Deep Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, First International Conference, HPCC 2005, Sorrento, Italy, September 21-23, 2005, Proceedings, pp. 223-232, 2005, Springer, 3-540-29031-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Multithreading substrate, Object-oriented parallel programming, Deep parallel architectures, Multiparadigm parallelism, Portability, Programmability |
39 | Zohair Chentouf, Soumaya Cherkaoui, Ahmed Khoumsi |
Experimenting with Feature Interaction Management in SIP Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Telecommun. Syst. ![In: Telecommun. Syst. 24(2-4), pp. 251-274, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
feature interaction detection and resolution, service and preference modeling, end user programmability, SIP, IP telephony |
39 | Peiyi Tang, Yoichi Muraoka |
Parallel Programming with Interacting Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 12th International Workshop, LCPC'99, La Jolla/San Diego, CA, USA, August 4-6, 1999, Proceedings, pp. 201-218, 1999, Springer, 3-540-67858-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Maximum Parallelism, Modular Programming, Parallel Programming, Programming Models, Programmability, Multiparty Interactions, Interacting Processes |
39 | Prashanth B. Bhat, Young Won Lim, Viktor K. Prasanna |
Issues in using heterogeneous HPC systems for embedded real time signal processing applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 2nd International Workshop on Real-Time Computing Systems and Applications, October 25 - 27, 1995, Tokyo, Japan, pp. 134-141, 1995, IEEE Computer Society, 0-8186-7106-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
heterogeneous high performance computing systems, embedded real time signal processing applications, custom VLSI, limited programmability, technological advances, Scalable Heterogeneous High Performance Embedded systems, hardware technology, processing element architecture, radar signal processing problem, performance evaluation, real-time systems, operating system, timing, signal processing, software portability, software portability, communication libraries, radar signal processing |
37 | Erik Schüler, Luigi Carro |
Increasing analog programmability in SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Wim Roelandts |
FPGAs and the Era of Field Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field Programmable Logic and Application, 14th International Conference , FPL 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings, pp. 1, 2004, Springer, 3-540-22989-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
37 | Fei Li 0003, Yan Lin 0001, Lei He 0001 |
Vdd programmability to reduce FPGA interconnect power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 760-765, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
37 | Ari Paasio, Jacek Flak, Mika Laiho, Kari Halonen |
High density VLSI implementation of a bipolar CNN with reduced programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS 2004, Vancouver, BC, Canada, May 23-26, 2004, pp. 21-24, 2004, IEEE, 0-7803-8251-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
37 | Andrea Di Blas, David M. Dahle, Mark Diekhans, Leslie Grate, Jeffrey D. Hirschberg, Kevin Karplus, Hansjörg Keller, Mark Kendrick, Francisco J. Mesa-Martinez, David Pease, Eric Rice, Angela Schultz, Don Speck, Richard Hughey |
The UCSC Kestrel Parallel Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 16(1), pp. 80-92, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
VLSI system design, image processing, Parallel processing, high performance computing, computer architecture, systolic array, SIMD, DNA, computational chemistry, biological sequence analysis |
30 | Paolo Atzeni, Luigi Bellomarini, Francesca Bugiotti, Giorgio Gianforme |
From Schema and Model Translation to a Model Management System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BNCOD ![In: Sharing Data, Information and Knowledge, 25th British National Conference on Databases, BNCOD 25, Cardiff, UK, July 7-10, 2008. Proceedings, pp. 227-240, 2008, Springer, 978-3-540-70503-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
model management system, model-independent schema and data translation, data programmability, model management |
30 | Tong Wen, Jimmy Su, Phillip Colella, Katherine A. Yelick, Noel Keen |
An adaptive mesh refinement benchmark for modern parallel programming languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Networking and Computing, SC 2007, November 10-16, 2007, Reno, Nevada, USA, pp. 40, 2007, ACM Press, 978-1-59593-764-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
performance, scalability, benchmark, programmability, adaptive mesh refinement, parallel programming languages |
30 | Bertrand Ducourthial, Alain Mérigot, Nicolas Sicard |
Anet: A Programming Environment for Parallel Image Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAMP ![In: Fifth International Workshop on Computer Architectures for Machine Perception (CAMP 2000), September 11-13, 2000, Padova, Italy, pp. 280-, 2000, IEEE Computer Society, 0-7695-0740-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Anet, parallel image analysis, programmability requirements, graph based associative nets computing model, irregular data manipulation, programming environments, programming environment |
30 | Thomas H. Einstein |
Mercury Computer Systems' modular heterogeneous RACE(R) multicomputer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Heterogeneous Computing Workshop ![In: 6th Heterogeneous Computing Workshop, HCW 1997, Geneva, Switzerland, April 1, 1997, pp. 60-, 1997, IEEE Computer Society, 0-8186-7879-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Mercury Computer Systems, modular heterogeneous RACE multicomputer, heterogeneous multicomputer, Analog Devices, SHARC 21060, Apple PowerPC 603p, optimal processor, physical processing density, heterogeneity, distributed memory systems, programmability, IBM, hardware cost, Motorola |
30 | Andrew Wolfe, Jason Fritts, Santanu Dutta, Edil S. T. Fernandes |
Datapath Design for a VLIW Video Signal Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 24-35, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
datapath design, VLIW video signal processor, very long instruction word, high parallelism, high-level language programmability, high-bandwidth interconnect, high-connectivity register files, parameterizable versions, VLSI, video signal processing, VLIW architectures, compiler design |
30 | Wei Liang Huang, Fred J. Meyer, Fabrizio Lombardi |
Multiple fault detection in logic resources of FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 20-22 October 1997, Paris, France, pp. 186-194, 1997, IEEE Computer Society, 0-8186-8168-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
logic resources, AND tree, OR tree, CLB test generation, field programmable gate arrays, fault model, configurability, testability, programmability, multiple fault detection, SRAM-based FPGA |
30 | Wolfgang K. Giloi, Ulrich Brüning 0001, Wolfgang Schröder-Preikschat |
MANNA: Prototype of a Distributed Memory Architecture with Maximized Sustained Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 4th Euromicro Workshop on Parallel and Distributed Processing (PDP '96), January 24-26, 1996, Portugal, pp. 297-304, 1996, IEEE Computer Society, 0-8186-7376-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
maximized sustained performance, compiler problem, innovative architectural solutions, overlapping communication, MANNA computer, benchmark performance, performance evaluation, parallel computers, operating system, parallel machines, distributed memory systems, parallelizing compilers, operating systems (computers), programmability, virtual storage, parallelising compilers, latency hiding, distributed memory parallel computer, distributed memory architecture, virtual shared memory, global address space, superscalar microprocessors |
30 | Santhosh Kumaran, Michael J. Quinn |
Divide-and-conquer programming on MIMD computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 734-741, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
divide-and-conquer programming, MIMD computers, programming template, parallel divide and conquer function, tridiagonal systems of equations, performance, parallel algorithms, parallel algorithm, parallel programming, parallel machines, problem solving, shared memory multiprocessor, multicomputer, programmability, divide and conquer methods, divide and conquer algorithms |
30 | Wei Zhao, Christos A. Papachristou |
Architectural partitioning of control memory for application specific programmable processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995, pp. 521-526, 1995, IEEE Computer Society / ACM, 0-8186-7213-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
application specific programmable processors, control memory, distributed microcode memory model, microcode memory, repetitive microcodes, distributed memory systems, memory architecture, programmability, microprogram, datapaths, firmware, memory module |
30 | Santanu Chattopadhyay, Samir Roy, Parimal Pal Chaudhuri |
Technology mapping on a multi-output logic module built around Cellular Automata Array for a new FPGA architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 57-62, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
multi-output logic module, cellular automata array, design turn-around time, field programmability, rapid circuit realization, logic blocks, AND-XOR based logic, library based technology mapping technique, MCNC benchmarks, field programmable gate arrays, VLSI, cellular automata, logic CAD, testability, technology mapping, multivalued logic circuits, FPGA architecture |
30 | Jean-Luc Gaudiot, Andrew Sohn |
Data-Driven Parallel Production Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(3), pp. 281-293, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
parallel production systems, data-flow principles, high programmability, data-driven principles, RETE match algorithm, actor set, program graph design, tagged data-flow computer, deterministic simulation, artificial intelligence production systems, parallel programming, parallel architectures, expert systems, symbolic computations, symbol manipulation, multiprocessor architecture, numerical computations, multiprocessor environment, data-driven architectures |
28 | Colin J. Ihrig, Gerold Joseph Dhanabalan, Alex K. Jones |
A low-power CMOS thyristor based delay element with programmability extensions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 297-302, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
delay element, thyristor, low power |
28 | Fei Li 0003, Yan Lin 0001, Lei He 0001 |
Field Programmability of Supply Voltages for FPGA Power Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(4), pp. 752-764, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Ciaran Toal, Sakir Sezer |
Investigation into programmability for layer 2 protocol frame delineation architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Aránzazu Otín, Santiago Celma, Concepción Aldea |
A design strategy for VHF filters with digital programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Munehiro Fukuda, Naoya Suzuki, Luís Miguel Campos, Shin-ya Kobayashi |
Programmability and Performance of M++ Self-Migrating Threads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2001 IEEE International Conference on Cluster Computing (CLUSTER 2001), 8-11 October 2001, Newport Beach, CA, USA, pp. 331-340, 2001, IEEE Computer Society, 0-7695-1116-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Thread migraion, multi-agent systems, multi-threading, parallel and distributed simulation |
28 | Christos Bohoris, Antonio Liotta, George Pavlou |
Evaluation of Constrained Mobility for Programmability in Network Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSOM ![In: Services Management in Intelligent Networks, 11th IFIP/IEEE International Workshop on Distributed Systems: Operations and Management, DSOM 2000, Austin, Texas, USA, December 4-6, 2000, Proceedings, pp. 243-257, 2000, Springer, 3-540-41427-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Performance Evaluation, Mobile Agents, CORBA, Java-RMI, Code Mobility |
28 | Zheng Zhang 0001, Marcelo H. Cintra, Josep Torrellas |
Excel-NUMA: Toward Programmability, Simplicity, and High Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(2), pp. 256-264, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
NUMA organizations, performance evaluation, caches, Shared-memory multiprocessors, cache-coherence protocols |
28 | Kevin Fan, Hyunchul Park 0001, Manjunath Kudlur, Scott A. Mahlke |
Modulo scheduling for highly customized datapaths to increase hardware reusability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Sixth International Symposium on Code Generation and Optimization (CGO 2008), April 5-9, 2008, Boston, MA, USA, pp. 124-133, 2008, ACM, 978-1-59593-978-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
programmable asic, modulo scheduling, loop accelerator |
28 | Kurt Keutzer, Sharad Malik, A. Richard Newton |
From ASIC to ASIP: The Next Design Discontinuity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 20th International Conference on Computer Design (ICCD 2002), VLSI in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings, pp. 84-90, 2002, IEEE Computer Society, 0-7695-1700-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Programmable platforms, Design methodology, Application Specific Integrated Circuits, ASIC, Application Specific Instruction Set Processors, ASIP |
28 | Brian Dipert, Danesh Tavana, Barry K. Britton, Bill Harris, Bob Boderson, Chris Rowen |
Future systems-on-chip: software of hardware design? (panel session). ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000., pp. 336-337, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
28 | John C. Fiala, Albert J. Wavering |
Experimental evaluation of Cartesian stiffness control on a seven degree-of-freedom robot arm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 5(1), pp. 5-24, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
Stiffness control, Cartesian control, robot control algorithms, control system architectures |
21 | Joel Sommers, Paul Barford, Mark Crovella |
Router primitives for programmable active measurement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRESTO ![In: Proceedings of the ACM SIGCOMM 2009 Workshop on Programmable Routers for Extensible Services of Tomorrow, PRESTO 2009, Barcelona, Spain, August 21, 2009, pp. 13-18, 2009, ACM, 978-1-60558-446-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
programmable measurement, router programmability, active measurement |
21 | Maik Hadorn, Bo Burla, Peter Eggenberger Hotz |
Towards Tailored Communication Networks in Assemblies of Artificial Cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACAL ![In: Artificial Life: Borrowing from Biology, 4th Australian Conference, ACAL 2009, Melbourne, Australia, December 1-4, 2009. Proceedings, pp. 126-135, 2009, Springer, 978-3-642-10426-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Living Technology, glycerophospholipids, vesicles, multivesicular aggregates, adhesion plaque, programmability, phase transition, self-assembly |
21 | Maik Hadorn, Peter Eggenberger Hotz |
Multivesicular Assemblies as Real-World Testbeds for Embryogenic Evolutionary Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACAL ![In: Artificial Life: Borrowing from Biology, 4th Australian Conference, ACAL 2009, Melbourne, Australia, December 1-4, 2009. Proceedings, pp. 169-178, 2009, Springer, 978-3-642-10426-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Embryogenic evolution, real-world testbed, vesicles, hard sphere colloids, DNA, programmability, self-assembly |
21 | Mihai Dobrescu, Norbert Egi, Katerina J. Argyraki, Byung-Gon Chun, Kevin R. Fall, Gianluca Iannaccone, Allan Knies, Maziar Manesh, Sylvia Ratnasamy |
RouteBricks: exploiting parallelism to scale software routers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 22nd ACM Symposium on Operating Systems Principles 2009, SOSP 2009, Big Sky, Montana, USA, October 11-14, 2009, pp. 15-28, 2009, ACM, 978-1-60558-752-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
software router, parallelism, multicore, programmability |
21 | David H. Albonesi |
Standing on Solid Ground. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 27(1), pp. 5-6, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
interconnects for multicore chips, special-purpose chips, debugging, human-computer interface, microarchitecture, programmability |
21 | Luis Ceze, James Tuck 0001, Pablo Montesinos, Josep Torrellas |
BulkSC: bulk enforcement of sequential consistency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 278-289, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
bulk, chip multiprocessors, programmability, sequential consistency, memory consistency models |
21 | Dimitris Alexopoulos, John Soldatos 0001 |
XMLNET: An Architecture for Cost Effective Network Management Based on XML Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Netw. Syst. Manag. ![In: J. Netw. Syst. Manag. 13(4), pp. 451-477, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
XML Management, EML, NML, XML schema, SNMP, Programmability, DTD, MIB |
21 | Eric E. Fabris, Luigi Carro, Sergio Bampi |
Modeling and designing high performance analog reconfigurable circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2004, Pernambuco, Brazil, September 7-11, 2004, pp. 49-54, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
analog programmability, band-pass sigma-delta modulator, analog design, FPAA |
21 | Alwyn Goodloe, Michael McDougall, Carl A. Gunter, Rajeev Alur |
Predictable programs in barcodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002, pp. 298-303, 2002, ACM, 1-58113-575-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
active barcodes, code delivery, programmability of embedded devices, formal verification |
21 | Claude Thibeault, Guy Bégin |
A Scan-Based Configurable, Programmable and Scalable Architecture for Sliding Window-Based Operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(6), pp. 615-627, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
scalability, Architecture, digital signal processing, configurability, testability, programmability |
21 | Ytzhak H. Levendel |
Delivering Dependable Telecommunication Services Using Off-the-Shelf System Components. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 12(1-2), pp. 153-159, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
easy programmability, distributed systems, dependability, software reuse, telecommunication, off-the-shelf components |
21 | Kai Hwang 0001, Zhiwei Xu, Masahiro Arakawa |
Benchmark Evaluation of the IBM SP2 for Parallel Signal Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(5), pp. 522-536, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
adaptive sensor array processing, STAP benchmarks, performance evaluation, scalability, Message passing, real-time applications, data parallelism, programmability, massively parallel processors |
21 | C. V. Ramamoorthy, Benjamin W. Wah |
Knowledge and Data Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 1(1), pp. 9-16, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
research problems, algorithms, control, software, database management systems, system design, representation, knowledge engineering, knowledge engineering, emerging technologies, programmability, hardware architecture, research and development, design tradeoffs, data engineering, future trends |
19 | Jorge Buzzio García, Jaime Vergara, Santiago Ríos-Guiral, Christian Garzón, Sergio Armando Gutierrez, Juan Felipe Botero, Jose L. Quiroz Arroyo, Jesús Arturo Pérez Díaz |
Exploring Traffic Patterns Through Network Programmability: Introducing SDNFLow, a Comprehensive OpenFlow-Based Statistics Dataset for Attack Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 12, pp. 42163-42180, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
19 | Zehua Guo 0001, Songshi Dou, Wenchao Jiang, Yuanqing Xia |
Toward Improved Path Programmability Recovery for Software-Defined WANs Under Multiple Controller Failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 32(1), pp. 143-158, February 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
19 | Elie F. Kfoury, Jorge Crichigno, Elias Bou-Harb |
P4Tune: Enabling Programmability in Non-Programmable Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Commun. Mag. ![In: IEEE Commun. Mag. 61(6), pp. 132-138, June 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Sicheng Wang, Eugenio Frias Miranda, Laura H. Blumenschein |
The Folded Pneumatic Artificial Muscle (foldPAM): Towards Programmability and Control via End Geometry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Robotics Autom. Lett. ![In: IEEE Robotics Autom. Lett. 8(3), pp. 1383-1390, March 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Zehua Guo 0001, Songshi Dou, Wenfei Wu, Yuanqing Xia |
Toward Flexible and Predictable Path Programmability Recovery Under Multiple Controller Failures in Software-Defined WANs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 31(5), pp. 1965-1980, October 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Songshi Dou, Li Qi, Chao Yao, Zehua Guo 0001 |
Exploring the Impact of Critical Programmability on Controller Placement for Software-Defined Wide Area Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 31(6), pp. 2575-2588, December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Zhaorong Fu, Jueming Bao, Jianwei Wang |
Programmability empowering quantum boson sampling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Nat. Comput. Sci. ![In: Nat. Comput. Sci. 3(10), pp. 819-820, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Nikhil George, Thaddeus Dryja, Neha Narula |
A Framework for Programmability in Digital Currency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2311.04874, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Yibin Yang 0001, Mohsen Minaei, Srinivasan Raghuraman, Ranjit Kumaresan, Mahdi Zamani |
Off-Chain Programmability at Scale. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2023, pp. 347, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP BibTeX RDF |
|
19 | Tomasz Osinski, Halina Tarasiuk |
New approaches to data plane programmability for software datapaths in the NFV infrastructure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NetSoft ![In: 9th IEEE International Conference on Network Softwarization, NetSoft 2023, Madrid, Spain, June 19-23, 2023, pp. 320-325, 2023, IEEE, 979-8-3503-9980-6. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Zhengdong Kang |
Hardware acceleration of the SED algorithm for Biomolecular activity predictionBiomolecular activity algorithm (SED) uses FPGA parallel programmability to achieve hardware acceleration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISAIMS ![In: Proceedings of the 2023 4th International Symposium on Artificial Intelligence for Medicine Science, ISAIMS 2023, Chengdu, China, October 20-22, 2023, pp. 1136-1140, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Adriano Marques Garcia, Dalvan Griebler, Claudio Schepke, André Sacilotto Santos, José Daniel García, Javier Fernández Muñoz, Luiz G. L. Fernandes |
A Latency, Throughput, and Programmability Perspective of GrPPI for Streaming on Multi-cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 31st Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2023, Naples, Italy, March 1-3, 2023, pp. 164-168, 2023, IEEE, 979-8-3503-3763-1. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Piero Castoldi, Andrea Sgambelluri, Layal Ismail, Francesco Paolucci, Filippo Cugini, David Bowden |
Network Programmability for Smart Factory Mobile Robotics: the SmartEdge Project Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTON ![In: 23rd International Conference on Transparent Optical Networks, ICTON 2023, Bucharest, Romania, July 2-6, 2023, pp. 1-5, 2023, IEEE, 979-8-3503-0303-2. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Gergely Pongrácz, Attila Mihály, István Gódor, Sándor Laki, Anastasios Nanos, Chrysa Papagianni |
Towards extreme network KPIs with programmability in 6G. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiHoc ![In: Proceedings of the Twenty-fourth International Symposium on Theory, Algorithmic Foundations, and Protocol Design for Mobile Networks and Mobile Computing, MobiHoc 2023, Washington, DC, USA, October 23-26, 2023, pp. 340-345, 2023, ACM, 978-1-4503-9926-5. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Bharat Sukhwani, Mohit Kapur, Alda Ohmacht, Liran Schour, Martin Ohmacht, Chris Ward, Chuck Haymes, Sameh W. Asaad |
Janus: An Experimental Reconfigurable SmartNIC with P4 Programmability and SDN Isolation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2023, Monterey, CA, USA, February 12-14, 2023, pp. 230, 2023, ACM, 978-1-4503-9417-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Jonatas Adilson Marques, Luciano Paschoal Gaspary |
Advancing Network Monitoring and Operation with In-band Network Telemetry and Data Plane Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOMS ![In: NOMS 2023, IEEE/IFIP Network Operations and Management Symposium, Miami, FL, USA, May 8-12, 2023, pp. 1-6, 2023, IEEE, 978-1-6654-7716-1. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Jonatas Adilson Marques, Kirill Levchenko, Luciano Paschoal Gaspary |
Responding to Network Failures at Data-plane Speeds with Network Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOMS ![In: NOMS 2023, IEEE/IFIP Network Operations and Management Symposium, Miami, FL, USA, May 8-12, 2023, pp. 1-10, 2023, IEEE, 978-1-6654-7716-1. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Songshi Dou, Li Qi, Zehua Guo 0001 |
Maintaining QoS-aware and Resilient Path Programmability for Metaverse in SD-WANs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM TUR-C ![In: Proceedings of the ACM Turing Award Celebration Conference - China 2023, ACM TURC 2023, Wuhan, China, July 28-30, 2023, pp. 81-82, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Chengkun Wei, Xing Li, Ye Yang, Xiaochong Jiang, Tianyu Xu, Bowen Yang, Taotao Wu, Chao Xu, Yilong Lv, Haifeng Gao, Zhentao Zhang, Zikang Chen, Zeke Wang, Zihui Zhang, Shunmin Zhu, Wenzhi Chen |
Achelous: Enabling Programmability, Elasticity, and Reliability in Hyperscale Cloud Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCOMM ![In: Proceedings of the ACM SIGCOMM 2023 Conference, ACM SIGCOMM 2023, New York, NY, USA, 10-14 September 2023, pp. 769-782, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Yimai Peng, Ashwin Bhat, Sanjay Wadhwa, David T. Blaauw, Dennis Sylvester |
A 4.6nW Subthreshold Voltage Reference with 400× Current Variation Reduction and 64-Step 0.11% Output Voltage Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESSCIRC ![In: 49th IEEE European Solid State Circuits Conference, ESSCIRC 2023, Lisbon, Portugal, September 11-14, 2023, pp. 89-92, 2023, IEEE, 979-8-3503-0420-6. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Laia Nadal, Ramon Casellas, Josep M. Fàbrega, F. Javier Vilchez, Michela Svaluto Moreolo |
Enabling Multiband Transmission and Programmability in Disaggregated Optical Metro Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2023, San Diego, CA, USA, March 5-9, 2023, pp. 1-3, 2023, IEEE, 978-1-957171-18-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Abderrahim Amlou, Amar Abane, Mheni Merzouki, Lydia Ait-Oucheggou, Zineb Maasaoui, Abdella Battou |
Automated Network Programmability Using OpenConfig YANG Models and NETCONF Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICCSA ![In: 20th ACS/IEEE International Conference on Computer Systems and Applications, AICCSA 2023, Giza, Egypt, December 4-7, 2023, pp. 1-5, 2023, IEEE, 979-8-3503-1943-9. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Won Woo Ro |
Lightning Talk: Efficiency and Programmability of DNN Accelerators and GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: 60th ACM/IEEE Design Automation Conference, DAC 2023, San Francisco, CA, USA, July 9-13, 2023, pp. 1-2, 2023, IEEE, 979-8-3503-2348-1. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Marco Andorno, Alessandro Caratelli, Davide Ceresa, Jashandeep Dhaliwal, Kostas Kloukinas, Anvesh Nookala, Risto Pejasinovic |
Radiation-Tolerant SoC and Application-Specific Processors for On-Detector Programmability and Data Processing in Future High-Energy Physics Experiments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MOCAST ![In: 12th International Conference on Modern Circuits and Systems Technologies, MOCAST 2023, Athens, Greece, June 28-30, 2023, pp. 1-5, 2023, IEEE, 979-8-3503-2107-4. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Francesco Paolucci, Davide Scano, Piero Castoldi, Emiliano De Paoli |
Latency control in service chaining using P4-based data plane programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Networks ![In: Comput. Networks 216, pp. 109227, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Azadeh Arnaz, Justin Lipman, Mehran Abolhasan, Matti A. Hiltunen |
Toward Integrating Intelligence and Programmability in Open Radio Access Networks: A Comprehensive Survey. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 10, pp. 67747-67770, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Martti Forsell, Sara Nikula, Jussi Roivainen, Ville Leppänen, Jesper Larsson Träff |
Performance and programmability comparison of the thick control flow architecture and current multicore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 78(3), pp. 3152-3183, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Zehua Guo 0001, Songshi Dou, Sen Liu 0002, Wendi Feng, Wenchao Jiang, Yang Xu 0010, Zhi-Li Zhang |
Maintaining Control Resiliency and Flow Programmability in Software-Defined WANs During Controller Failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 30(3), pp. 969-984, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Sicheng Wang, Eugenio Frias Miranda, Laura H. Blumenschein |
The Folded Pneumatic Artificial Muscle (foldPAM): Towards Programmability and Control via End Geometry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2209.01315, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Petar D. Bojovic, Teodor Malbasic, Dusan Vujosevic, Goran Martic, Zivko Bojovic |
Dynamic QoS Management for a Flexible 5G/6G Network Core: A Step toward a Higher Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 22(8), pp. 2849, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Antonino Angi, Alessio Sacco, Flavio Esposito, Guido Marchetto, Alexander Clemm |
NLP4: An Architecture for Intent-Driven Data Plane Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NetSoft ![In: 8th IEEE International Conference on Network Softwarization, NetSoft 2022, Milan, Italy, June 27 - July 1, 2022, pp. 25-30, 2022, IEEE, 978-1-6654-0694-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Mohammad Asif Habibi, Adrián Gallego Sánchez, Ignacio Labrador Pavón, Bin Han 0004, Giada Landi, Bessem Sayadi, Christos Ntogkas, Ioannis-Prodromos Belikaidis, Hans D. Schotten, Pablo Serrano 0001, Jesús Pérez-Valero, Antonio Virdis |
Enabling Network and Service Programmability in 6G Mobile Communication Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FNWF ![In: 2022 IEEE Future Networks World Forum, FNWF 2022, Montreal, QC, Canada, October 10-14, 2022, pp. 320-327, 2022, IEEE, 978-1-6654-6250-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Yong Feng, Zhikang Chen, Haoyu Song 0001, Wenquan Xu, Jiahao Li, Zijian Zhang, Tong Yun, Ying Wan, Bin Liu 0001 |
Enabling In-situ Programmability in Network Data Plane: From Architecture to Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NSDI ![In: 19th USENIX Symposium on Networked Systems Design and Implementation, NSDI 2022, Renton, WA, USA, April 4-6, 2022, pp. 635-649, 2022, USENIX Association, 978-1-939133-27-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
19 | Harilaos Koumaras, Dimitris Tsolkas, Javier Garcia, David Artuñedo, Bruno Garcia, Ricardo Marco, Apostolis K. Salkintzis, Dimitrios Fragkos, George Makropoulos, Fotini Setaki, Almudena Díaz, Pedro Merino 0001, Vaios Koumaras, Paula Encinar, Yiannis Karadimas |
A network programmability framework for vertical applications in the beyond 5G era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuCNC ![In: 2022 Joint European Conference on Networks and Communications & 6G Summit, EuCNC/6G Summit 2022, Grenoble, France, June 7-10, 2022, pp. 375-380, 2022, IEEE, 978-1-6654-9871-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Jiaxin Tang, Sen Liu 0002, Yang Xu 0010, Zehua Guo 0001, Junjie Zhang 0001, Peixuan Gao, Yang Chen 0001, Xin Wang 0002, H. Jonathan Chao |
ABS: Adaptive Buffer Sizing via Augmented Programmability with Machine Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: IEEE INFOCOM 2022 - IEEE Conference on Computer Communications, London, United Kingdom, May 2-5, 2022, pp. 2038-2047, 2022, IEEE, 978-1-6654-5822-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Romerson Deiny Oliveira, Ekin Arabul, Rui Wang 0053, George T. Kanellos, Reja Nejabati, Dimitra Simeonidou |
Demonstration of a Resilient and Quantum-Secured Time-Shared Optical Network with Multi-Level Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2022, San Diego, CA, USA, March 6-10, 2022, pp. 1-3, 2022, IEEE, 978-1-55752-466-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
19 | Filippo Cugini, Davide Scano, Alessio Giorgetti, Andrea Sgambelluri, Francesco Paolucci, Juan Jose Vegas Olmos, Piero Castoldi |
Applications of P4-based Network Programmability in Optical Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2022, San Diego, CA, USA, March 6-10, 2022, pp. 1-3, 2022, IEEE, 978-1-55752-466-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
19 | Derrick Greenspan, Naveed Ul Mustafa, Zoran Kolega, Mark A. Heinrich, Yan Solihin |
Improving the Security and Programmability of Persistent Memory Objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEED ![In: 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED), Storrs, CT, USA, September 26-27, 2022, pp. 157-168, 2022, IEEE, 978-1-6654-8526-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Andrew Gozillon |
Towards increased programmability for heterogeneous computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2022 |
RDF |
|
19 | Songshi Dou, Guochun Miao, Zehua Guo 0001, Chao Yao, Weiran Wu, Yuanqing Xia |
Matchmaker: Maintaining network programmability for Software-Defined WANs under multiple controller failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Networks ![In: Comput. Networks 192, pp. 108045, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Jacob Steadman, Sandra Scott-Hayward |
DNSxP: Enhancing data exfiltration protection through data plane programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Networks ![In: Comput. Networks 195, pp. 108174, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Esteban Municio, Steven Latré, Johann M. Márquez-Barja |
Extending Network Programmability to the Things Overlay Using Distributed Industrial IoT Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Informatics ![In: IEEE Trans. Ind. Informatics 17(1), pp. 251-259, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Luis M. Contreras 0001, Samier Barguil, Ricard Vilalta, Víctor López 0001 |
Architecture for integrating vertical customer's programmability control of network functions and connectivity in a slice-as-a-service schema. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EURASIP J. Wirel. Commun. Netw. ![In: EURASIP J. Wirel. Commun. Netw. 2021(1), pp. 114, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Vasileios Leon, George Lentaris, Evangelos Petrongonas, Dimitrios Soudris, Gianluca Furano, Antonis Tavoularis, David Moloney |
Improving Performance-Power-Programmability in Space Avionics with Edge Devices: VBN on Myriad2 SoC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 20(3), pp. 22:1-22:23, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Martina Gschwendtner, Andreas Bluhm, Andreas J. Winter 0002 |
Programmability of covariant quantum channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Quantum ![In: Quantum 5, pp. 488, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Chris Misa, Ramakrishnan Durairajan, Reza Rejaie, Walter Willinger |
Revisiting Network Telemetry in COIN: A Case for Runtime Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Netw. ![In: IEEE Netw. 35(5), pp. 14-20, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Francesco Paolucci, Filippo Cugini, Piero Castoldi, Tomasz Osinski |
Enhancing 5G SDN/NFV Edge with P4 Data Plane Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Netw. ![In: IEEE Netw. 35(3), pp. 154-160, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Nitheesh Murugan Kaliyamurthy, Swapnesh Taterh, Suresh Shanmugasundaram, Ankit Saxena, Omar Cheikhrouhou, Hadda Ben Elhadj |
Software-Defined Networking: An Evolving Network Architecture - Programmability and Security Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Secur. Commun. Networks ![In: Secur. Commun. Networks 2021, pp. 9971705:1-9971705:7, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Alessio Sacco, Flavio Esposito, Guido Marchetto |
On Control and Data Plane Programmability for Data-Driven Networking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPSR ![In: 22nd IEEE International Conference on High Performance Switching and Routing, HPSR 2021, Paris, France, June 7-10, 2021, pp. 1-6, 2021, IEEE, 978-1-6654-4005-9. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Johannes Josef Schneider, Alessia Faggian, Hans-Georg Matuttis, David Anthony Barrow, Jin Li 0025, Silvia Holler, Federica Casiraghi, Lorena Cebolla Sanahuja, Martin Michael Hanczyc, Patrik Eschle, Mathias Sebastian Weyland, Dandolo Flumini, Peter Eggenberger Hotz, Rudolf Marcel Füchslin |
Obstacles on the Pathway Towards Chemical Programmability Using Agglomerations of Droplets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WIVACE ![In: Artificial Life and Evolutionary Computation - 15th Italian Workshop, WIVACE 2021, Winterthur, Switzerland, September 15-17, 2021, Revised Selected Papers, pp. 35-50, 2021, Springer, 978-3-031-23929-8. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Morad Horany, Alex Margolin |
Remote Programmability Model for SmartNICs in HPC Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OpenSHMEM ![In: OpenSHMEM and Related Technologies. OpenSHMEM in the Era of Exascale and Smart Networks - 8th Workshop on OpenSHMEM and Related Technologies, OpenSHMEM 2021, Virtual Event, September 14-16, 2021, Revised Selected Papers, pp. 178-186, 2021, Springer, 978-3-031-04887-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Amir Al Sadi, Davide Berardi, Franco Callegati, Andrea Melis 0001, Marco Prandini |
A Security Monitoring Architecture based on Data Plane Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuCNC/6G Summit ![In: Joint European Conference on Networks and Communications & 6G Summit, EuCNC/6G Summit 2021, Porto, Portugal, June 8-11, 2021, pp. 389-394, 2021, IEEE, 978-1-6654-1526-2. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Filippo Cugini, Davide Scano, Alessio Giorgetti, Andrea Sgambelluri, Piero Castoldi, Francesco Paolucci |
P4 Programmability at the Network Edge: the BRAINE Approach [Invited]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: 30th International Conference on Computer Communications and Networks, ICCCN 2021, Athens, Greece, July 19-22, 2021, pp. 1-9, 2021, IEEE, 978-1-6654-1278-0. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Yong Feng, Haoyu Song 0001, Jiahao Li, Zhikang Chen, Wenquan Xu, Bin Liu 0001 |
In-situ Programmable Switching using rP4: Towards Runtime Data Plane Programmability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HotNets ![In: HotNets '21: The 20th ACM Workshop on Hot Topics in Networks, Virtual Event, United Kingdom, November 10-12, 2021, pp. 69-76, 2021, ACM, 978-1-4503-9087-3. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 822 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ >>] |
|