The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase register-file (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1991 (19) 1992-1995 (21) 1996-1997 (24) 1998-1999 (20) 2000 (15) 2001 (17) 2002 (32) 2003 (50) 2004 (40) 2005 (54) 2006 (51) 2007 (55) 2008 (54) 2009 (43) 2010 (19) 2011 (16) 2012 (24) 2013-2014 (22) 2015 (24) 2016 (23) 2017 (19) 2018-2019 (18) 2020-2021 (19) 2022-2023 (20) 2024 (1)
Publication types (Num. hits)
article(175) inproceedings(522) phdthesis(3)
Venues (Conferences, Journals, ...)
MICRO(40) ISCA(25) ICCD(21) DATE(20) IEEE Trans. Computers(19) ISLPED(18) HPCA(17) ACM Trans. Archit. Code Optim.(14) VLSI Design(14) DAC(13) IEEE Trans. Very Large Scale I...(13) IPDPS(12) ASP-DAC(10) CASES(10) ASAP(9) ASPLOS(9) More (+10 of total 210)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 488 occurrences of 285 keywords

Results
Found 700 publication records. Showing 700 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
151Marc Tremblay, Bill Joy 0001, Ken Shin A three dimensional register file for superscalar processors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF three dimensional register file, datapath component, three-scalar machine, 3D Register File, multiple planes, extra register sets, microtask switching, data array, ported register file, flat register file, bus lines, large buffer, simulations, performance evaluation, data structures, memory architecture, superscalar processors, file organisation, registers, access time, microcomputers, cycle time, real time tasks, superscalar microprocessor, superscalar microprocessors, register windows
143Jinpyo Park, Je-Hyung Lee, Soo-Mook Moon Register Allocation for Banked Register File. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF banked register file, register allocation
125José-Lorenzo Cruz, Antonio González 0001, Mateo Valero, Nigel P. Topham Multiple-banked register file architectures. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bypass logic, register file architecture, register file cache, dynamically-scheduled processor
116Jesús Alastruey, Teresa Monreal, Víctor Viñals, Mateo Valero Speculative early register release. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF physical register release, optimization, register file, register renaming
112Xuan Guan, Yunsi Fei Reducing power consumption of embedded processors through register file partitioning and compiler support. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
105Masaaki Kondo, Hiroshi Nakamura A Small, Fast and Low-Power Register File by Bit-Partitioning. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
101Nan Wu 0003, Mei Wen, Ju Ren 0002, Yi He 0008, Chunyuan Zhang Register Allocation on Stream Processor with Local Register File. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF local register file, spilling, register allocation, VLIW, stream processor
99Rakesh Nalluri, Rohan Garg 0003, Preeti Ranjan Panda Customization of Register File Banking Architecture for Low Power. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
95Rama Sangireddy Register Organization for Enhanced On-Chip Parallelism. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
95Jason Cong, Yiping Fan, Junjuan Xu Simultaneous resource binding and interconnection optimization based on a distributed register-file microarchitecture. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF distributed register file, Behavioral synthesis, resource binding
94Rahul Nagpal, Y. N. Srikant Register File Energy Optimization for Snooping Based Clustered VLIW Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
91André Seznec, Eric Toullec, Olivier Rochecouste Register write specialization register read specialization: a path to complexity-effective wide-issue superscalar processors. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
91Miquel Pericàs, Rubén González 0001, Adrián Cristal, Alexander V. Veidenbaum, Mateo Valero An Optimized Front-End Physical Register File with Banking and Writeback Filtering. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
90Jason Cong, Yiping Fan, Wei Jiang Platform-based resource binding using a distributed register-file microarchitecture. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed register file, behavior synthesis, resource binding
90Rubén González 0001, Adrián Cristal, Daniel Ortega, Alexander V. Veidenbaum, Mateo Valero A Content Aware Integer Register File Organization. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
88Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie Bypass aware instruction scheduling for register file power reduction. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF architecture-sensitive compiler, bypass-sensitive, forwarding paths, operation table, processor bypasses, reservation table, power consumption, register file
87Eduardo Quiñones, Joan-Manuel Parcerisa, Antonio González 0001 Early Register Release for Out-of-Order Processors with RegisterWindows. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
86Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi Reducing the complexity of the register file in dynamic superscalar processors. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
84Oguz Ergin, Deniz Balkan, Kanad Ghose, Dmitry V. Ponomarev Register Packing: Exploiting Narrow-Width Operands for Reducing Register File Pressure. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
83Mahdi Fazeli, Seyed Nematollah Ahmadian, Seyed Ghassem Miremadi A Low Energy Soft Error-Tolerant Register File Architecture for Embedded Processors. Search on Bibsonomy HASE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
80Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Software and Hardware Techniques to Optimize Register File Utilization in VLIW Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register requirements, register file organization, clustered organization, Modulo scheduling, spill code
80Manoj Kumar Jain, M. Balakrishnan, Anshul Kumar An efficient technique for exploring register file size in ASIP synthesis. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ASIP Synthesis, retargetable estimation, storage exploration, design space exploration, instruction scheduling, register file, global analysis, register spill, liveness analysis
79Peter R. Mattson, William J. Dally, Scott Rixner, Ujval J. Kapasi, John D. Owens Communication Scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
78Yingchao Zhao 0001, Chun Jason Xue, Minming Li, Bessie C. Hu Energy-aware register file re-partitioning for clustered VLIW architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
77Scott E. Breach, T. N. Vijaykumar, Gurindar S. Sohi The anatomy of the register file in a multiscalar processor. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
77Raid Ayoub, Alex Orailoglu Power efficient register file update approach for embedded processors. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
74José L. Ayala, Alexander V. Veidenbaum, Marisa Luisa López-Vallejo Power-Aware Compilation for Register File Energy Reduction. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF register file management, compiler support, energy aware
74Nam Sung Kim, Trevor N. Mudge The microarchitecture of a low power register file. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write queue, low power, instruction level parallelism, register file, out-of-order processor
74Jun Yan 0008, Wei Zhang 0002 Compiler-guided register reliability improvement against soft errors. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register lifetime, reliability, soft errors, register file
73Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Hierarchical Clustered Register File Organization for VLIW Processors. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
72Jie S. Hu, Shuai Wang 0006, Sotirios G. Ziavras In-Register Duplication: Exploiting Narrow-Width Value for Improving Register File Reliability. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
72Ming Yang, Lixin Yu, Heping Peng Energy Efficient Register File with Reduced Window Partition. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
72Soontae Kim Reducing ALU and Register File Energy by Dynamic Zero Detection. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
71Mikko H. Lipasti, Brian R. Mestan, Erika Gunadi Physical Register Inlining. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
69Tadashi Saito, Moto Maeda, Tetsuo Hironaka, Kazuya Tanigawa, Tetsuya Sueyoshi, Ken-ichi Aoyama, Tetsushi Koide, Hans Jürgen Mattausch Design of superscalar processor with multi-bank register file. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
69Rajiv A. Ravindran, Robert M. Senger, Eric D. Marsman, Ganesh S. Dasika, Matthew R. Guthaus, Scott A. Mahlke, Richard B. Brown Increasing the number of effective registers in a low-power processor using a windowed register file. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF window assignment, low-power, graph partitioning, embedded processor, instruction encoding, register window
68Ge Zhang, Xu Yang, Yiwei Zhang Architecture Level Energy Modeling and Optimization for Multi-Ported Giga-Hz Physical Register File. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
68Rama Sangireddy Instruction Format Based Selective Execution for Register Port Complexity Reduction in High-Performance Processors. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
68Rama Sangireddy, Arun K. Somani Exploiting Quiescent States in Register Lifetime. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
68C. P. Ravikumar, R. Aggarwal, C. Sharma A Graph-Theoretic Approach for Register File Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
67Jun Yan 0008, Wei Zhang 0002 Virtual Registers: Reducing Register Pressure Without Enlarging the Register File. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
65Oguz Ergin, Deniz Balkan, Dmitry V. Ponomarev, Kanad Ghose Increasing Processor Performance Through Early Register Release. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
65Oguz Ergin, Deniz Balkan, Dmitry Ponomarev 0001, Kanad Ghose Early Register Deallocation Mechanisms Using Checkpointed Register Files. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register file optimization, Superscalar processors, precise interrupts
64Wann-Yun Shieh, Hsin-Dar Chen Saving Register-File Leakage Power by Monitoring Instruction Sequence in ROB. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register leakage power, high-end embedded processor, dynamic voltage scaling (DVS), reorder buffer
64Krishnan Kailas, Manoj Franklin, Kemal Ebcioglu A Register File Architecture and Compilation Scheme for Clustered ILP Processors. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
63Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis Versatility of extended subwords and the matrix register file. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SIMD programming, SIMD architectures, multimedia standards
63Mazen A. R. Saghir, Rawan Naous A Configurable Multi-ported Register File Architecture for Soft Processor Cores. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
63Jun-Ho Kwon, Joonho Lim, Soo-Ik Chae A three-port nRERL register file for ultra-low-energy applications. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
63Rajiv V. Joshi, Wei Hwang, S. C. Wilson, Ghavam V. Shahidi, Ching-Te Chuang A Low Power 900 MHz Register File (8 Ports, 32 Words x 64 Bits) in 1.8V, 0.25µm SOI Technology. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
63Rajiv V. Joshi, Wei Hwang Design Considerations and Implementation of a High Performance Dynamic Register File. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
63Jan Hoogerbrugge, Henk Corporaal Register file port requirements of transport triggered architectures. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
63JongSoo Park, Sung-Boem Park, James D. Balfour, David Black-Schaffer, Christos Kozyrakis, William J. Dally Register pointer architecture for efficient embedded processors. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
63Teresa Monreal, Víctor Viñals, José González 0002, Antonio González 0001, Mateo Valero Late Allocation and Early Release of Physical Registers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Il Park 0001, Michael D. Powell, T. N. Vijaykumar Reducing register ports for higher speed and lower energy. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
62G. X. Tyson, M. Smelyanskyi, Edward S. Davidson Evaluating the Use of Register Queues in Software Pipelined Loops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF modulo variable expansion, rotating register file, register queues, register connection, Software pipelining, VLIW
62Robert Yung, Neil C. Wilhelm Caching processor general registers. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF caching processor general registers, processor cycle time requirements, small register cache, register caching, windowed-register architectures, parallel architectures, performance model, memory architecture, cache storage, register file
62Xiangrong Zhou, Chenjie Yu, Peter Petrov Temperature-aware register reallocation for register file power-density minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
62Xiangrong Zhou, Chenjie Yu, Peter Petrov Compiler-driven register re-assignment for register file power-density and temperature reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF power-density minimization, thermal management
62Chung-Wen Huang, Kun-Yuan Hsieh, Jia-Jhe Li, Jenq Kuen Lee Support of Paged Register Files for Improving Context Switching on Embedded Processors. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
62Mallik Kandala, Wei Zhang 0002, Laurence Tianruo Yang An Area-Efficient Approach to Improving Register File Reliability against Transient Errors. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
62Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis Matrix register file and extended subwords: two techniques for embedded media processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded media processors, multimedia kernels, sub-word parallelism, register file
61Jack L. Lo, Sujay S. Parekh, Susan J. Eggers, Henry M. Levy, Dean M. Tullsen Software-Directed Register Deallocation for Simultaneous Multithreaded Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF architecture, register file, simultaneous multithreading, Multithreaded architecture
59Shuai Wang 0006, Hongyan Yang, Jie S. Hu, Sotirios G. Ziavras Asymmetrically Banked Value-Aware Register Files. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
59Meng-chou Chang, Feipei Lai Efficient Exploitation of Instruction-Level Parallelism for Superscalar Processors by the Conjugate Register File Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multilevel boosting, shadow register file, conjugate register file, scheduling-conflict graph, Instruction-level parallelism, speculative execution, superscalar processors
59David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy A Method for Register Allocation to Loops in Multiple Register File Architectures. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
58Timothy M. Jones 0001, Michael F. P. O'Boyle, Jaume Abella 0001, Antonio González 0001, Oguz Ergin Compiler Directed Early Register Release. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
58Gokhan Memik, Mahmut T. Kandemir, Ozcan Ozturk 0001 Increasing Register File Immunity to Transient Errors. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
58Ulrich Hirnschrott, Andreas Krall, Bernhard Scholz Graph Coloring vs. Optimal Register Allocation for Optimizing Compilers. Search on Bibsonomy JMLC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
58Xianglong Huang, Steve Carr 0001, Philip H. Sweany Loop Transformations for Architectures with Partitioned Register Banks. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
57Sandeep Sirsi, Aneesh Aggarwal Exploring the Limits of Port Reduction in Centralized Register Files. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
57Jer-Yu Hsu, Yan-Zu Wu, Xuan-Yi Lin, Yeh-Ching Chung SCRF - A Hybrid Register File Architecture. Search on Bibsonomy PaCT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cluster processor architecture, register architecture, register allocation algorithm, VLIW processor
57Houman Homayoun, Sudeep Pasricha, Mohammad A. Makhzan, Alexander V. Veidenbaum Dynamic register file resizing and frequency scaling to improve embedded processor performance and energy-delay efficiency. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic resizing, performance, embedded processor, register file
57Kimish Patel, Wonbok Lee, Massoud Pedram Active bank switching for temperature control of the register file in a microprocessor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register file, thermal model, temperature-aware design
56Vladimír Guzma, Pekka Jääskeläinen, Pertti Kellomäki, Jarmo Takala Impact of Software Bypassing on Instruction Level Parallelism and Register File Traffic. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Zion S. Kwok, Steven J. E. Wilton Register File Architecture Optimization in a Coarse-Grained Reconfigurable Architecture. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55Wann-Yun Shieh, Chien-Chen Chen Exploiting Register-Usage for Saving Register-File Energy in Embedded Processors. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55Lushan Liu, Ramalingam Sridhar, Shambhu J. Upadhyaya A 3-port Register File Design for Improved Fault Tolerance on Resistive Defects in Core-Cells. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
55Laura Pozzi, Paolo Ienne Exploiting pipelining to relax register-file port constraints of instruction-set extensions. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic instruction-set extension, constrained scheduling, embedded customised architectures, multi-cycle register access, input/output
55Lars Wehmeyer, Manoj Kumar Jain, Stefan Steinke, Peter Marwedel, M. Balakrishnan Analysis of the influence of register file size on energyconsumption, code size, and execution time. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
55Soner Önder, Rajiv Gupta 0001 Load and store reuse using register file contents. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
54Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal Very wide register: an asymmetric register file organization for low power embedded processors. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Xuejun Yang, Yu Deng 0001, Li Wang 0027, Xiaobo Yan, Jing Du 0002, Ying Zhang 0032, Guibin Wang, Tao Tang 0001 SRF Coloring: Stream Register File Allocation via Graph Coloring. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SRF coloring, stream register file, memory management, compiler optimization, graph coloring, stream processor
52Hui Zeng, Kanad Ghose Register file caching for energy efficiency. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register caching, energy-efficiency, register files
52Yanjun Zhang, Hu He 0001, Yihe Sun A new register file access architecture for software pipelining in VLIW processors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Manoj Kumar Jain, M. Balakrishnan, Anshul Kumar An efficient technique for exploring register file size in ASIP design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52Je-Hyung Lee, Jinpyo Park, Soo-Mook Moon Securing More Registers with Reduced Instruction Encoding Architectures. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Herbert H. J. Hum, Guang R. Gao A Novel High-Speed Memory Organization for Fine-Grain Multi-Thread Computing. Search on Bibsonomy PARLE (1) The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
52Timothy M. Jones 0001, Michael F. P. O'Boyle, Jaume Abella 0001, Antonio González 0001, Oguz Ergin Exploring the limits of early register release: Exploiting compiler analysis. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, energy efficiency, Low-power design, microarchitecture, register file
51Kyoung-Hwan Lim, YongHwan Kim, Taewhan Kim Interconnect and Communication Synthesis for Distributed Register-File Microarchitecture. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
51Xuemei Zhao, Yizheng Ye Design and Realization of a Low Power Register File Using Energy Model. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
48Gilles Pokam, Olivier Rochecouste, André Seznec, François Bodin Speculative software management of datapath-width for energy optimization. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF narrow-width regions, compiler, reconfigurable computing, speculative execution, energy management, clock-gating
48Matthias Müller 0002, Andreas Wortmann 0002, Dominik Mader, Sven Simon 0001 Register Isolation for Synthesizable Register Files. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Nam Sung Kim, Trevor N. Mudge Reducing register ports using delayed write-back queues and operand pre-fetch. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write queue, low power, instruction level parallelism, register file, out-of-order processor
48Stephen Roderick Hines, Gary S. Tyson, David B. Whalley Addressing instruction fetch bottlenecks by using an instruction register file. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF L0/filter cache, instruction packing, instruction register file
48Rama Sangireddy, Arun K. Somani Application-Specific Computing with Adaptive Register File Architectures. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Computing capacity, compute-intensive Function, Memory bandwidth, Register File
48Manoj Kumar Jain, Lars Wehmeyer, Stefan Steinke, Peter Marwedel, M. Balakrishnan Evaluating register file size in ASIP design. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF instruction power model, synthesis, application specific instruction set processor, instruction set, register file, register spill
48Deniz Balkan, Joseph J. Sharkey, Dmitry Ponomarev 0001, Aneesh Aggarwal Address-Value Decoupling for Early Register Deallocation. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
48Montserrat Ros, Peter Sutton A post-compilation register reassignment technique for improving hamming distance code compression. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register reassignment, hamming distance, code compression
48R. David Weldon, Steven S. Chang, Hong Wang 0003, Gerolf Hoflehner, Perry H. Wang, Daniel M. Lavery, John Paul Shen Quantitative Evaluation of the Register Stack Engine and Optimizations for Future Itanium Processors. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
48Joseph J. Sharkey, Jason Loew, Dmitry V. Ponomarev Reducing register pressure in SMT processors through L2-miss-driven early register release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register file, Simultaneous multithreading
Displaying result #1 - #100 of 700 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license