The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase set-associative (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1976-1993 (18) 1994-1996 (17) 1997-1999 (16) 2000-2002 (22) 2003 (17) 2004 (22) 2005 (18) 2006-2007 (25) 2008 (15) 2009 (16) 2010-2015 (16) 2018-2024 (9)
Publication types (Num. hits)
article(40) inproceedings(171)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 272 occurrences of 155 keywords

Results
Found 211 publication records. Showing 211 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
68André Seznec, François Bodin Skewed-associative Caches. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF skewed-associative cache, cache, microprocessors, set-associative cache
63Brannon Batson, T. N. Vijaykumar Reactive-Associative Caches. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
57Jia-Jhe Li, Yuan-Shin Hwang Snug set-associative caches: reducing leakage power while improving performance. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage power, set-associative caches
56Yuguang Wu, Richard R. Muntz Stack Evaluation of Arbitrary Set-Associative Multiprocessor Caches. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF coherence by invalidation, stack evaluation, simulation, Cache memory, set-associative
55Mohsen Sharifi, Behrouz Zolfaghari YAARC: yet another approach to further reducing the rate of conflict misses. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Skewed associative cache, YAARC cache, Hit rate, Cache, Conflict misses
55Chuanjun Zhang, Frank Vahid, Jun Yang 0002, Walid A. Najjar A way-halting cache for low-energy high-performance systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, dynamic optimization, low energy
49Peter Sanders 0001 Accessing Multiple Sequences Through Set Associative Caches. Search on Bibsonomy ICALP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multi merge, memory hierarchy, external memory algorithm, Set associative cache
49Rabin A. Sugumar, Santosh G. Abraham Set-Associative Cache Simulation Using Generalized Binomial Trees Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF all-associativity simulation, binomial tree, inclusion properties, single-pass simulation, trace-driven simulation, cache modeling, set-associative caches
48James C. Browne, Kevin Kane, Hongxia Tian An Associative Broadcast Based Coordination Model for Distributed Processes. Search on Bibsonomy COORDINATION The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Prasanna Palsodkar, Amol Y. Deshmukh, Preeti R. Bajaj, Avinash G. Keskar An Approach for Four Way Set Associative Multilevel CMOS Cache Memory. Search on Bibsonomy KES (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Masamichi Takagi, Kei Hiraki Inter-reference gap distribution replacement: an improved replacement algorithm for set-associative caches. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache memory, replacement algorithm, set-associative cache
41Rui Min, Wen-Ben Jone, Yiming Hu Location cache: a low-power L2 cache system. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF L1/L2 caches, data location, power, TLB, set-associative caches
41Henk L. Muller, Paul W. A. Stallard, David H. D. Warren The Role of Associative Memory in Virtual Shared Memory Architectures: A Price-Performance Comparison. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF virtual shared memory architectures, price-performance, set associative memory, large coherent cache, performance evaluation, benchmarks, parallel machines, memory hierarchy, shared memory systems, costing, cost, associative memory, memory architecture, content-addressable storage, application specific, virtual storage, CC-NUMA, COMA, miss ratios
38Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi Fault Tolerant and Low Energy Write-Back Heterogeneous Set Associative Cache for DSM Technologies. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
37Michael D. Powell, Amit Agarwal 0001, T. N. Vijaykumar, Babak Falsafi, Kaushik Roy 0001 Reducing set-associative cache energy via way-prediction and selective direct-mapping. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Chuanjun Zhang, Frank Vahid, Jun Yang 0002, Walid A. Najjar A way-halting cache for low-energy high-performance systems. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power techniques, cache design
34S. Subha A Set Associative Cache Architecture. Search on Bibsonomy ITNG The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Set Associative mapping, XOR mapping
34John Stuart Harper, Darren J. Kerbyson, Graham R. Nudd Analytical Modeling of Set-Associative Cache Behavior. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF performance evaluation, analytical modeling, data locality, Cache modeling, set-associative, cache interference
34Ching-Farn Eric Wu, Yarsun Hsu, Yew-Huey Liu Efficient Stack Simulation for Set-Associative Virtual Address Cache with Real Tags. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Stack simulation, V/R-type cache, pseudonym, set-associative cache, synonym, miss ratio
34Robert Yung Design Decisions Influencing the UltraSPARC's Instruction Fetch Architecture. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF UltraSPARC, fast cycle time, in-cache prediction, instruction fetch architecture, instruction fetch unit, lower cycle-per-instruction, predictive set-associative cache, prefetch and dispatch unit, trade-off decisions, computer architecture, microprocessor
31Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen 0001, Hai Li 0001 Tolerating process variations in large, set-associative caches: The buddy cache. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF caches, Processor architectures, fault recovery, memory structures
31Yuan-Shin Hwang, Jia-Jhe Li Snug set-associative caches: Reducing leakage power of instruction and data caches with no performance penalties. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Caches, leakage power, drowsy caches, cache decay
30S. Subha A Set Associative Cache Model with Energy Saving. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cache, Energy Savings
30Rui Min, Zhiyong Xu, Yiming Hu, Wen-Ben Jone Partial Tag Comparison: A New Technology for Power-Efficient Set-Associative Cache Designs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Megalingam Rajesh Kannan, K. B. Deepu, Joseph P. Iype, Ravishankar Parthasarathy, Popuri Gautham Power Consumption Analysis of Direct, Set Associative and Phased Set Associative Cache Organizations in Alpha AXP 21064 Processor. Search on Bibsonomy BAIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Seiichiro Fujii, Toshinori Sato Non-uniform Set-Associative Caches for Power-Aware Embedded Processors. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Randall T. White, Christopher A. Healy, David B. Whalley, Frank Mueller 0001, Marion G. Harmon Timing Analysis for Data Caches and Set-Associative Caches. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Hamid R. Zarandi, Seyed Ghassem Miremadi Hierarchical Multiple Associative Mapping in Cache Memories. Search on Bibsonomy ECBS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Jung-Wook Park, Cheong-Ghil Kim, Jung-Hoon Lee, Shin-Dug Kim An energy efficient cache memory architecture for embedded systems. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF selective way access, skewed associativity, embedded system, memory hierarchy, low power cache
29Jung-Wook Park, Gi-Ho Park, Sung-Bae Park, Shin-Dug Kim Power-Aware Deterministic Block Allocation for Low-Power Way-Selective Cache Structure. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Sangmin Seo, Jaejin Lee, Zehra Sura Design and implementation of software-managed caches for multicores with local memory. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Rui Min, Yiming Hu Improving Performance of Large Physically Indexed Caches by Decoupling Memory Addresses from Cache Addresses. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Novel memory architectures, cache, memory systems, TLB, performance enhancement
28Ashutosh Kulkarni, Navin Chander, Soumya Pillai, Lizy Kurian John Modeling and Analysis of The Difference-Bit Cache. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hit access time, cache mapping strategies*, Cache memory, critical path
28Rabin A. Sugumar, Santosh G. Abraham Efficient Simulation of Caches under Optimal Replacement with Applications to Miss Characterization. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
27Yoav Etsion, Dror G. Feitelson L1 Cache Filtering Through Random Selection of Memory References. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jih-Kwon Peir, Yongjoon Lee, Windsor W. Hsu Capturing Dynamic Memory Reference Behavior with Adaptive Cache Topology. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Jaume Abella 0001, Antonio González 0001 Heterogeneous way-size cache. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive, low power, cache memories, set-associative
27Yau-Tsun Steven Li, Sharad Malik, Andrew Wolfe Cache modeling for real-time software: beyond direct mapped instruction caches. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF direct mapped instruction caches, worst case timing analysis, cache hits, set associative instruction caches, unified caches, cinderella, research, integer-linear-programming, worst case execution time, data caches, cache storage, design tool, memory performance, cache misses, real-time software, tight bound, cache modeling, hardware system
26Mainak Chaudhuri Pseudo-LIFO: the foundation of a new family of replacement policies for last-level caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-multiprocessor, replacement policy, last-level cache
23Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras Recruiting Decay for Dynamic Power Reduction in Set-Associative Caches. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras Applying Decay to Reduce Dynamic Power in Set-Associative Caches. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Rajiv A. Ravindran, Michael L. Chu, Scott A. Mahlke Compiler-managed partitioned data caches for low power. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware/software co-managed cache, instruction-driven cache management, partitioned cache, low-power, embedded processor
23Moinuddin K. Qureshi, David Thompson, Yale N. Patt The V-Way Cache: Demand Based Associativity via Global Replacement. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Sebastian Altmeyer, Claire Maiza, Jan Reineke 0001 Resilience analysis: tightening the CRPD bound for set-associative caches. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache-related preemption delay, lru caches, timing analysis
22Mrinmoy Ghosh, Emre Özer 0001, Simon Ford, Stuart Biles, Hsien-Hsin S. Lee Way guard: a segmented counting bloom filter approach to reducing energy for set-associative caches. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, bloom filter
22Clément Ballabriga, Hugues Cassé, Pascal Sainrat An improved approach for set-associative instruction cache partial analysis. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF WCET computation, partial cache analysis, partial static analysis, abstract interpretation, COTS, instruction cache
22SangKyun Yun Hardware-Based IP Lookup Using n-Way Set Associative Memory and LPM Comparator. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Jaume Abella 0001, Antonio González 0001 SAMIE-LSQ: set-associative multiple-instruction entry load/store queue. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Stefanos Kaxiras, Georgios Keramidas IPStash: a set-associative memory approach for efficient IP-lookup. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Koji Inoue, Vasily G. Moshnyaga, Kazuaki J. Murakami A Low Energy Set-Associative I-Cache with Extended BTB. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Chuanjun Zhang An efficient direct mapped instruction cache for application-specific embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF efficient cache design, instruction cache, low power cache
22Jun Xu 0014, Mukesh Singhal Cost-Effective Flow Table Designs for High-Speed Routers: Architecture and Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Flow table, performance analysis, router architecture, universal hashing
21J. Adam Butts, Gurindar S. Sohi Use-Based Register Caching with Decoupled Indexing. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Stefanos Kaxiras, Georgios Keramidas IPStash: a Power-Efficient Memory Architecture for IP-lookup. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF IP
20André Seznec Concurrent Support of Multiple Page Sizes on a Skewed Associative TLB. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiple page size, skewed associativity, TLB
19Fong Pong, Nian-Feng Tzeng HaRP: Rapid Packet Classification via Hashing Round-Down Prefixes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF filter data sets, incremental rule updates, IP prefixes, set-associative hash tables, tuple space search, decision trees, hashing functions, routers, packet classification, Classification rules
19Salvador Petit, Julio Sahuquillo, Jose M. Such, David R. Kaeli Exploiting temporal locality in drowsy cache policies. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF drowsy cache policies, reuse information, low-power, temporal locality, set-associative caches
19Mark D. Hill, Alan Jay Smith Evaluating Associativity in CPU Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF CPU caches, cache miss ratio, forest simulation, all-associativity simulation, stack simulation, associativity, buffer storage, content-addressable storage, direct-mapped, set-associative
19Kimming So, Rudolph N. Rechtschaffen Cache Operations by MRU Change. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF MRU change, most recently used, prefetch algorithms, performance evaluation, performance, storage management, CPU, content-addressable storage, virtual storage, replacement algorithms, memory access, cache simulation, set associative caches
19James E. Smith, James R. Goodman Instruction Cache Replacement Policies and Organizations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF fully associative, loop model, Cache memories, replacement algorithms, memory organization, direct-mapped, set-associative
17Zhenlin Wang, Kathryn S. McKinley, Arnold L. Rosenberg, Charles C. Weems Using the Compiler to Improve Cache Replacement Decisions. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Hongwei Zhou, Chengyi Zhang, Mingxuan Zhang Improved Way Prediction Policy for Low-Energy Instruction Caches. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jih-Kwon Peir, Windsor W. Hsu, Honesty C. Young, Shauchi Ong Improving Cache Performance with Balanced Tag and Data Paths. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Chuanjun Zhang, Frank Vahid, Walid A. Najjar A highly configurable cache for low energy embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, microprocessor, configurable, memory hierarchy, low energy, architecture tuning
15Wei Song 0002, Zihan Xue, Jinchi Han, Zhenzhen Li, Peng Liu 0005 Randomizing Set-Associative Caches Against Conflict-Based Cache Side-Channel Attacks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Michael A. Bender, Rathish Das, Martin Farach-Colton, Guido Tagliavini An Associativity Threshold Phenomenon in Set-Associative Caches. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Michael A. Bender, Rathish Das, Martin Farach-Colton, Guido Tagliavini An Associativity Threshold Phenomenon in Set-Associative Caches. Search on Bibsonomy SPAA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yun-Chen Lo, Chih-Chen Yeh, Jun-Shen Wu, Chia-Chun Wang, Yu-Chih Tsai, Wen-Chien Ting, Ren-Shuo Liu ISSA: Input-Skippable, Set-Associative Computing-in-Memory (SA-CIM) Architecture for Neural Network Accelerators. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Chun-Chang Yu, Yu Hen Hu, Yi-Chang Lu, Charlie Chung-Ping Chen Power Reduction of a Set-Associative Instruction Cache Using a Dynamic Early Tag Lookup. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Wei Zhang 0173, Nan Guan, Lei Ju 0001, Yue Tang 0001, Weichen Liu, Zhiping Jia Scope-Aware Useful Cache Block Calculation for Cache-Related Pre-Emption Delay Analysis With Set-Associative Data Caches. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Syed Aftab Rashid, Geoffrey Nelissen, Eduardo Tovar Bounding Cache Persistence Reload Overheads for Set-Associative Caches. Search on Bibsonomy RTCSA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Sajjad Rostami Sani, Mojtaba Valinataj, Saeideh Alinezhad Chamazcoti Parloom: A New Low-Power Set-Associative Instruction Cache Architecture Utilizing Enhanced Counting Bloom Filter and Partial Tags. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Payman Behnam, Arjun Pal Chowdhury, Mahdi Nazm Bojnordi R-Cache: A Highly Set-Associative In-Package Cache Using Memristive Arrays. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Wenming Li, Lingjun Fan, Zihou Wang, Xiaochun Ye, Da Wang, Hao Zhang 0009, Liang Zhang, Dongrui Fan, Xianghui Xie 0001 Thread ID based power reduction mechanism for multi-thread shared set-associative caches. Search on Bibsonomy IGSC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Wei Zhang 0044, Hang Zhang 0031, John C. Lach Reducing dynamic energy of set-associative L1 instruction cache by early tag lookup. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Alen Bardizbanyan, Magnus Själander, David B. Whalley, Per Larsson-Edefors Reducing set-associative L1 data cache energy by early load data dependence detection (ELD3). Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Pavlos Maniotis, D. Fitsios, George T. Kanellos, Nikos Pleros A 16GHz optical cache memory architecture for set-associative mapping in chip multiprocessors. Search on Bibsonomy OFC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Alen Bardizbanyan, Magnus Själander, David B. Whalley, Per Larsson-Edefors Speculative tag access for reduced energy dissipation in set-associative L1 data caches. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Jiongyao Ye, Hongfeng Ding, Yingtao Hu, Takahiro Watanabe A Behavior-based Adaptive Access-mode for Low-power Set-associative Caches in Embedded Systems. Search on Bibsonomy J. Inf. Process. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Marjan Gusev, Sasko Ristov Performance Gains and Drawbacks using Set Associative Cache. Search on Bibsonomy J. Next Gener. Inf. Technol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15C. J. Janraj, T. Venkata Kalyan, Tripti S. Warrier, Madhu Mutyam Way Sharing Set Associative Cache Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Stefano Di Carlo, Paolo Prinetto, Alessandro Savino Software-Based Self-Test of Set-Associative Cache Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Michel Hanna, Socrates Demetriades, Sangyeun Cho, Rami G. Melhem Advanced hashing schemes for packet forwarding using set associative memory architectures. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Sungjae Lee, Jin-Ku Kang, Inhwan Lee Way-lookup buffer for low-power set-associative cache. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Yun Liang 0001, Tulika Mitra Improved procedure placement for set associative caches. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Benjamin Lesage, Damien Hardy, Isabelle Puaut WCET Analysis of Multi-Level Set-Associative Data Caches. Search on Bibsonomy WCET The full citation details ... 2009 DBLP  BibTeX  RDF
15Claire Burguière, Jan Reineke 0001, Sebastian Altmeyer Cache-Related Preemption Delay Computation for Set-Associative Caches - Pitfalls and Solutions. Search on Bibsonomy WCET The full citation details ... 2009 DBLP  BibTeX  RDF
15Michel Hanna, Socrates Demetriades, Sangyeun Cho, Rami G. Melhem Progressive hashing for packet processing using set associative memory. Search on Bibsonomy ANCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Jonathan R. Haigh, Lawrence T. Clark High performance set associative translation lookaside buffers for low power microprocessors. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Damien Hardy, Isabelle Puaut WCET analysis of multi-level set-associative instruction caches Search on Bibsonomy CoRR The full citation details ... 2008 DBLP  BibTeX  RDF
15Clément Ballabriga, Hugues Cassé Improving the First-Miss Computation in Set-Associative Instruction Caches. Search on Bibsonomy ECRTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Simone Alpe, Stefano Di Carlo, Paolo Prinetto, Alessandro Savino Applying March Tests to K-Way Set-Associative Cache Memories. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache memories, memory test, march test
15Damien Hardy, Isabelle Puaut WCET Analysis of Multi-level Non-inclusive Set-Associative Instruction Caches. Search on Bibsonomy RTSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Chih-Hui Ting, Juinn-Dar Huang, Yu-Hsiang Kao Cycle-time-aware sequential way-access set-associative cache for low energy consumption. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Biju K. Raveendran, T. S. B. Sudarshan, Avinash Patil, Komal B. Randive, S. Gurunarayanan 0001 An Energy Efficient Selective Placement Scheme for Set-Associative Data Cache in Embedded System. Search on Bibsonomy ESA The full citation details ... 2007 DBLP  BibTeX  RDF
15Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau Using a Way Cache to Improve Performance of Set-Associative Caches. Search on Bibsonomy ISHPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15José Luis Hamkalo, Andrés Djordjalian, Bruno Cernuschi-Frías A Shared-Way Set Associative On-Chip Cache. Search on Bibsonomy Int. J. Comput. Their Appl. The full citation details ... 2004 DBLP  BibTeX  RDF
15James D. Fix The set-associative cache performance of search trees. Search on Bibsonomy SODA The full citation details ... 2003 DBLP  BibTeX  RDF
15Perng-Fei Lin, James B. Kuo A 0.8-V 128-kb four-way set-associative two-level CMOS cache memory using two-stage wordline/bitline-oriented tag-compare (WLOTC/BLOTC) scheme. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Perng-Fei Lin, James B. Kuo A 1-V 128-kb four-way set-associative CMOS cache memory using wordline-oriented tag-compare (WLOTC) structure with the content-addressable-memory (CAM) 10-transistor tag cell. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 211 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license