The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for slew with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1996 (20) 1997-1999 (20) 2000-2001 (16) 2002-2003 (41) 2004 (18) 2005 (22) 2006 (30) 2007 (20) 2008 (27) 2009 (18) 2010-2011 (22) 2012-2013 (22) 2014-2015 (26) 2016-2017 (23) 2018-2019 (28) 2020 (19) 2021 (16) 2022 (16) 2023 (17)
Publication types (Num. hits)
article(141) incollection(2) inproceedings(277) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 164 occurrences of 122 keywords

Results
Found 422 publication records. Showing 421 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
140Jeremy R. Tolbert, Xin Zhao 0001, Sung Kyu Lim, Saibal Mukhopadhyay Slew-aware clock tree design for reliable subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF slew, clocks, subthreshold
103Yuantao Peng, Xun Liu Low-power repeater insertion with both delay and slew rate constraints. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, interconnect, repeater insertion, slew rate
91Shiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang K. Karandikar, Zhuo Li 0001, Weiping Shi, Cliff C. N. Sze Fast algorithms for slew constrained minimum cost buffering. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF slew constraint, physical design, buffer insertion
80Shiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang K. Karandikar, Zhuo Li 0001, Weiping Shi, Chin Ngai Sze Fast Algorithms for Slew-Constrained Minimum Cost Buffering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
80Kanak Agarwal, Dennis Sylvester, David T. Blaauw A simple metric for slew rate of RC circuits based on two circuit moments. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
79Ram Suryanarayan, Anubhav Gupta, Travis N. Blalock A slew rate enhancement technique for operational amplifiers based on a tunable active Gm-based capacitance multiplication circuit. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF opamp, feedback, CMOS, compensation, operational amplifier, slew rate
68Jeremy R. Tolbert, Saibal Mukhopadhyay Accurate buffer modeling with slew propagation in subthreshold circuits. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
68Amlan Ghosh, Rahul M. Rao, Jae-Joon Kim, Ching-Te Chuang, Richard B. Brown On-Chip Process Variation Detection Using Slew-Rate Monitoring Circuit. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
68H. Dine, S. Chuang, Phillip E. Allen, Paul E. Hasler A rail to rail, slew-boosted pre-charge buffer. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
68Bjorn Dahlberg Increasing Test Accuracy by Varying Driver Slew Rate. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
67Andre Vilas Boas, Eduardo Ribeiro, Alfredo Olmos, Ricardo Maltione Self-adaptable slew rate control output buffer for embedded microcontroller port applications. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF I/O pad, slew rate control, self-adaptable, microcontroller, output buffer
67Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu 0001, Anirudh Devgan Closed form expressions for extending step delay and slew metrics to ramp inputs. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Elmore, slew, delay, timing, interconnects, PDF, moments, median, skewness
67Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu 0001, Anirudh Devgan PERI: a technique for extending delay and slew metrics to ramp inputs. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Elmore, slew, delay, interconnects, PDF, moments, median, skewness, standard deviation
60Ying-Yu Chen, Chen Dong 0003, Deming Chen Clock tree synthesis under aggressive buffer insertion. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF slew, buffer insertion, buffer sizing, clock tree, maze routing
56Bishnu Prasad Das, Janakiraman Viraraghavan, Bharadwaj Amrutur, H. S. Jamadagni, N. V. Arvind Voltage and Temperature Scalable Gate Delay and Slew Models Including Intra-Gate Variations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Xiaoji Ye, Frank Liu 0001, Peng Li 0001 Fast Variational Interconnect Delay and Slew Computation Using Quadratic Models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Xiaoji Ye, Peng Li 0001, Frank Liu 0001 Practical variation-aware interconnect delay and slew analysis for statistical timing verification. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Hong-Yi Huang, Bo-Ruei Wang, Jen-Chieh Liu High-gain and high-bandwidth rail-to-rail operational amplifier with slew rate boost circuit. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Andrew Havlir, David Z. Pan Simultaneous Statistical Delay and Slew Optimization for Interconnect Pipelines. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Charles J. Alpert, Frank Liu 0001, Chandramouli V. Kashyap, Anirudh Devgan Closed-form delay and slew metrics made easy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Shabbir H. Batterywala, Narendra V. Shenoy Computing Silent Gate Models for Noise Analysis from Slew and Delay Tables. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Shabbir H. Batterywala, Narendra V. Shenoy A Method to Estimate Slew and Delay in Coupled Digital Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
56Kanak Agarwal, Dennis Sylvester, David T. Blaauw Simple metrics for slew rate of RC circuits based on two circuit moments. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
56Charles J. Alpert, Frank Liu 0001, Chandramouli V. Kashyap, Anirudh Devgan Delay and slew metrics using the lognormal distribution. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
56Hoi Lee, Philip K. T. Mok A CMOS current-mirror amplifier with compact slew rate enhancement circuit for large capacitive load applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
55Hesam Amir Aslanzadeh, Saeid Mehrmanesh, Mohammad B. Vahidfar, Amin Quasem Safarian, Reza Lotfi A 1-V 1-mW high-speed class AB operational amplifier for high-speed low power pipelined A/D converters using "Slew Boost" technique. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CMOS analog circuit, Slew Boost technique, class AB, low power, high speed, operational amplifier, pipelined analog to digital converter, ultra low voltage
51Pooi Yuen Kam, Seng Slew Ng, Tok Soon Ng Optimum symbol-by-symbol detection of uncoded digital data over the Gaussian channel with unknown carrier phase. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
43Chien Pang Lu, Mango Chia-Tso Chao, Chen Hsing Lo, Chih-Wei Chang A metal-only-ECO solver for input-slew and output-loading violations. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF input skew violation, output loading, buffer insertion, eco
43Young-Ho Kwak, Inhwa Jung, Chulwoo Kim A slew-rate controlled output driver with one-cycle tuning time. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín High slew rate two stage A/AB and AB/AB op-amps with phase lead compensation at output node and local common mode feedback. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Amlan Ghosh, Rahul M. Rao, Ching-Te Chuang, Richard B. Brown On-Chip Process Variation Detection and Compensation Using Delay and Slew-Rate Monitoring Circuits. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Ratnakar Goyal, Sachin Shrivastava, Harindranath Parameswaran, Parveen Khurana Improved First-Order Parameterized Statistical Timing Analysis for Handling Slew and Capacitance Variation. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Sarvesh Bhardwaj, Praveen Ghanta, Sarma B. K. Vrudhula A framework for statistical timing analysis using non-linear delay and slew models. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Amorn Jiraseree-amornkun, Apisak Worapishet, Eric A. M. Klumperink, Bram Nauta, Wanlop Surakampontorn Slew rate induced distortion in switched-resistor integrators. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Jiaxing Sun, Yun Zheng, Qing Ye, Tianchun Ye 0001 Interconnect Delay and Slew Metrics Using the First Three Moments. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF D3M, ID3M, SS3M, SIS3M
43Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu 0001, Anirudh Devgan Closed-form expressions for extending step delay and slew metrics to ramp inputs for RC trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Markus Tahedl, Hans-Jörg Pfleiderer Dynamic Wire Delay and Slew Metrics for Integrated Bus Structures. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Soroush Abbaspour, Amir H. Ajami, Massoud Pedram, Emre Tuncer TFA: a threshold-based filtering algorithm for propagation delay and slew calculation of high-speed VLSI interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF elmore, threshold-based filtering algorithm, static timing analysis, moments, AWE
43Bernard N. Sheehan Osculating Thevenin model for predicting delay and slew of capacitively characterized cells. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF static timing analysis, effective capacitance
43Rung-Bin Lin, Jinq-Chang Chen Low Power CMOS Off-Chip Drivers with Slew-rate Difference. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
42Jing Zhang A Low-Power and High Slew-rate CMOS Voltage Follower. Search on Bibsonomy MVHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CSTN-LCD, voltage-follower, high slew-rate, low-power
38Kyungho Ryu, Ji-Yong Jeong, Jung-Pil Lim, Kil-Hoon Lee, Kyongho Kim, Yongil Kwon, Seongjong Yoo, Siwoo Kim, Hyun-Wook Lim, Jae-Youl Lee A Source-Driver IC Including Power-Switching Fast-Slew-Rate Buffer and 8Gb/s Effective 3-Tap DFE Receiver Achieving 4.9mV DVRMS and 17V/ps Slew Rate for 8K Displays and Beyond. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
38Scott Lerner, Baris Taskin Slew Merging Region Propagation for Bounded Slew and Skew Clock Tree Synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
38Scott Lerner, Eric Leggett, Baris Taskin Slew-down: analysis of slew relaxation for low-impact clock buffers. Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
36Ruiming Chen, Hai Zhou 0001 Fast Min-Cost Buffer Insertion under Process Variations. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Soroush Abbaspour, Hanif Fatemi, Massoud Pedram Non-gaussian statistical interconnect timing analysis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Shingo Takahashi, Yuki Yoshida, Shuji Tsukiyama A Gaussian mixture model for statistical timing analysis. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF slew distribution, variability, Gaussian mixture model, statistical timing analysis, delay distribution
36José Vicente Calvano, Vladimir Castro Alves, Marcelo Lubaszewski Testing a PWM circuit using functional fault models and compact test vectors for operational amplifiers. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF PWM circuit, compact test vectors, analog VLSI technology, functional fault macromodels, compact test vector construction, open loop gain, CMMR, analog circuit simulation complexity, VLSI, integrated circuit testing, design for testability, automatic test pattern generation, fault modeling, fault simulation, fault simulation, operational amplifiers, operational amplifiers, test pattern, functional fault models, analogue circuits, fault dictionary, pulse width modulation, slew-rate
36Pradip Mandal, V. Visvanathan Design of high performance two stage CMOS cascode op-amps with stable biasing. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF two stage CMOS cascode op-amps, stable biasing, mirror biasing, output voltage, bias variations, low frequency common mode rejection ratios, power supply rejection ratios, systematic offset, circuit analysis computing, performance metrics, integrated circuit design, circuit simulations, operational amplifiers, CMOS analogue integrated circuits, slew rate, circuit stability
36John Lillis, Chung-Kuan Cheng, Ting-Ting Y. Lin Optimal wire sizing and buffer insertion for low power and a generalized delay model. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Timing Optization, Dynamic Power Dissipation, Signal Slew, Dynamic Programming, Elmore Delay
31J. V. R. Ravindra, M. B. Srinivas A Statistical Model for Estimating the Effect of Process Variations on Delay and Slew Metrics for VLSI Interconnects. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Tongyu Song, Shouli Yan A Robust Rail-to-Rail Input Stage with Constant-gm and Constant Slew Rate Using a Novel Level Shifter. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Joongho Choi, Jinup Lim, Cheng-Chew Lim A low-voltage operational amplifier with high slew-rate for sigma-delta modulators. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Sushmita Baswa, Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal A novel family of low-voltage very low power super class AB OTAs with significantly enhanced slew rate and bandwidth. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio Jesús Torralba Silgado, Carlos Nieva A new class AB differential input stage for implementation of low-voltage high slew rate op amps and linear transconductors. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Iyad Rayane, Jaime Velasco-Medina, Michael Nicolaidis A One-Bit-Signature BIST for Embedded Operational Amplifiers in Mixed-Signal Circuits Based on the Slew-Rate Detection. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Gustavo E. Téllez, Majid Sarrafzadeh Minimal buffer insertion in clock trees with skew and slew rate constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Seungwhun Paik, Sangmin Kim, Youngsoo Shin Wakeup synthesis and its buffered tree construction for power gating circuit designs. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF wakeup synthesis, leakage, power gating
24Michael Lustig, Seung-Jean Kim, John M. Pauly A Fast Method for Designing Time-Optimal Gradient Waveforms for Arbitrary k-Space Trajectories. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Mohamed H. Abu-Rahma, Mohab Anis A Statistical Design-Oriented Delay Variation Model Accounting for Within-Die Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Amit Goel, Sarma B. K. Vrudhula Current source based standard cell model for accurate signal integrity and timing analysis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye Delay and Energy Efficient Design of On-Chip Encoded Bus with Repeaters. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Janet Meiling Wang, Jun Li 0066, Satish K. Yanamanamanda, Lakshmi Kalpana Vakati, Kishore Kumar Muchherla Modeling the Driver Load in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Zushu Yan, Qiang Bian, Yuanfu Zhao Freqency Compensation for Multistage Amplifiers using Active-Feedback Current Buffers. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Chirayu S. Amin, Chandramouli V. Kashyap, Noel Menezes, Kip Killpack, Eli Chiprout A multi-port current source model for multiple-input switching effects in CMOS library cells. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MCSM, cell library characterization, multiple input switching, timing analysis, current source model, cell model
24Soroush Abbaspour, Hanif Fatemi, Massoud Pedram VGTA: Variation Aware Gate Timing Analysis. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Salvatore Pennisi High-performance CMOS current feedback operational amplifier. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Soroush Abbaspour, Hanif Fatemi, Massoud Pedram VITA: variation-aware interconnect timing analysis for symmetric and skewed sources of variation considering variational ramp input. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF moment calculation, sources of variation, sensitivity, statistical timing analysis, elmore delay
24Kan Takeuchi, Kazumasa Yanagisawa, Takashi Sato, Kazuko Sakamoto, Saburo Hojo Probabilistic crosstalk delay estimation for ASICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Kanak Agarwal, Dennis Sylvester, David T. Blaauw A library compatible driver output model for on-chip RLC transmission lines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Shanta Thoutam, Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal Power efficient fully differential low-voltage two stage class AB/AB op-amp architectures. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Ruchir Puri, David S. Kung 0001, Anthony D. Drumm Fast and accurate wire delay estimation for physical synthesis of large ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement driven synthesis, wire delay, estimation, integrated circuit design
24Kanak Agarwal, Dennis Sylvester, David T. Blaauw A library compatible driving point model for on-chip RLC interconnects. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Nathan Kalyanasundharam, Nital Patwa Simultaneous Switching Noise Considerations in the Design of a High Speed, Multiported TLB of a Server-Class Microprocessor. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiported, supply inductance, TLB, simultaneous switching noise, decoupling capacitance
24S. Turgis, Daniel Auvergne A novel macromodel for power estimation in CMOS structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester Switch-Factor Based Loop RLC Modeling for Efficient Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RLC model, loop inductance, switch-factor, current return loop, data-bus and clock, static timing analysis, slew rate
19Hyunjun Park, Woojoong Jung, Minsu Kim, Hyung-Min Lee A Wide-Load-Range and High-Slew Capacitor-Less NMOS LDO With Adaptive-Gain Nested Miller Compensation and Pre-Emphasis Inverse Biasing. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Shusuke Kawai, Takeshi Ueno, Hiroki Ishikuro, Kohei Onizuka An Active Slew Rate Control Gate Driver IC With Robust Discrete-Time Feedback Technique for 600-V Superjunction MOSFETs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Chua-Chin Wang, Lean Karlo S. Tolentino, Shao-Wei Lu, Oliver Lexter July A. Jose, Ralph Gerard B. Sangalang, Tzung-Je Lee, Pang-Yen Lou, Wei-Chih Chang A 2xVDD digital output buffer with gate driving stability and non-overlapping signaling control for slew-rate auto-adjustment using 16-nm FinFET CMOS process. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Sougata Ghosh Low-Voltage Super Class-AB Bulk-Driven Single-Stage Subthreshold OTA with Very High DC Gain, Slew Rate, and High Driving Capability. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Xiaoyan Gui, Renjie Tang, Kai Li, Kanan Wang, Dan Li 0011, Quan Pan 0002, Li Geng A CMOS slew-rate controlled output driver with low process, voltage and temperature variations using a dual-path signal-superposition technique. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Mohd Asim Saeed, Manoj Kumar, B. Umapathi, Devarshi Mrinal Das Optimization of Slew Mitigation Capacitor in Passive Charge Compensation-Based Delta-Sigma Modulator. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Bhawna Aggarwal, Vaishali Sharma A New Improved Current Splitter OTA with Higher Transconductance and Slew Rate. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Jingwen Huang, Zihao Zhao Synchronous slew/translation positioning and swing suppression control for 4-DOF tower crane system. Search on Bibsonomy Trans. Inst. Meas. Control The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Francesco Gagliardi 0002, Alessandro Catania, Massimo Piotto, Paolo Bruschi, Michele Dei A Novel High-Performance Parallel-Type Slew-Rate Enhancer for LCD-Driving Applications. Search on Bibsonomy PRIME The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19V. H. Arzate Palma, F. Sandoval-Ibarra Slew-rate Comparison of single-ended amplifiers-the Folded Cascode and the Recycling Folded Cascode. Search on Bibsonomy CCE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Ren Lu, Wei Zhang, Lieqiu Jiang, Genggeng Liu Slew-Driven Layer Assignment for Advanced Non-default-rule Wires. Search on Bibsonomy WISA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Bjørn Andreas Kristiansen, Dennis D. Langer, Joseph L. Garrett, Simen Berg, Jan Tommy Gravdahl, Tor Anders Johansen Accuracy of a slew maneuver for the HYPSO-1 hyperspectral imaging satellite - in-orbit results. Search on Bibsonomy WHISPERS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Seunghwa Shin, Gyeong-Gu Kang, Gyu-Wan Lim, Hyun-Sik Kim A Mobile OLED Source-Driver IC featuring Ultra-Compact 3-Stage-Cascaded 10-Bit DAC and 42V/μs-Slew-Rate True-DC-Interpolative Super-OTA Buffer. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Archisman Ghosh, Md. Abdur Rahman, Debayan Das, Santosh Ghosh, Shreyas Sen Power and EM SCA Resilience in 65nm AES-256 Exploiting Clock-Slew Dependent Variability in CMOS Digital Circuits. Search on Bibsonomy CICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Yarallah Koolivand, Yasser Rezayean, Milad Zamani, Meysam Akbari, Omid Shoaei, Kea-Tiong Tang, Farshad Moradi A 69MHz-Bandwidth 40V/μs-Slew-rate 3nV/√Hz-Noises 4.5μV-Offset Chopper Operational Amplifier. Search on Bibsonomy CICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Wangchen Fan, Zhongyuan Fang, Yongjia Lil, Minggang Chen, Weifeng Sun A Transient-Enhanced Capacitor-Less LDO With 30-MHz Bandwidth and High Slew Rate. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Mihika Mahendra, Shweta Kumari, Maneesha Gupta Low voltage fully differential OTA using DTMOS based self cascode transistor with slew-rate enhancement and its filter application. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Cristian Raducan, Marius Neag Slew-Rate Booster and Frequency Compensation Circuit for Automotive LDOs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Emad Ebrahimi, Amin Roozbakhsh, Mohammadreza Rasekhi A new slew rate enhancement technique for operational transconductance amplifiers. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Caffey Jindal, Rishikesh Pandey High Slew-Rate and Very-Low Output Resistance Class-AB Flipped Voltage Follower Cell for Low-Voltage Low-Power Analog Circuits. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Mehdi Moradian Boanloo, Mohammad Yavari A push-pull FVF based LDO voltage regulator with slew rate enhancement at the gate of power transistor. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Lieqiu Jiang, Zepeng Li, Chenpeng Bao, Genggeng Liu, Xing Huang, Wen-Hao Liu, Ting-Chi Wang LA-SVR: A High-Performance Layer Assignment Algorithm with Slew Violations Reduction. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Ionut-Constantin Guran, Adriana Florescu, Lucian Andrei Perisoara Optimized Slew Rate Control Technique for Automotive Low-Dropout Linear Voltage Regulators Simulation Models. Search on Bibsonomy ECAI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Karthik Debbadi, Yoann Pascal, Marco Liserre dv/dt filter design incorporating machine impedance and voltage slew rate for WBG-based electric drives. Search on Bibsonomy IECON The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 421 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license