|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 21821 occurrences of 7978 keywords
|
|
|
Results
Found 46282 publication records. Showing 46261 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
51 | Ravishankar Rao, Sarma B. K. Vrudhula |
Energy optimal speed control of devices with discrete speed sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 901-904, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
low-power, functions, convex, voltage scaling, energy optimization, frequency scaling, speed control |
51 | James P. G. Sterbenz |
Protocols for High-Speed Networks: A Brief Retrospective Survey of High-Speed Networking Research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High Speed Networks, 7th IFIP/IEEE International Workshop, PfHSN 2002, Berlin, Germany, April 22-24, 2002, Proceedings, pp. 243-266, 2002, Springer, 3-540-43658-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
48 | Angela Krstic, Kwang-Ting (Tim) Cheng, Srimat T. Chakradhar |
Testing High Speed VLSI Devices Using Slower Testers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 17th IEEE VLSI Test Symposium (VTS '99), 25-30 April 1999, San Diego, CA, USA, pp. 16-21, 1999, IEEE Computer Society, 0-7695-0146-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
43 | Ravishankar Rao, Sarma B. K. Vrudhula |
Energy-Optimal Speed Control of a Generic Device. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12), pp. 2737-2746, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
43 | Muh-ong Yang, GinKou Ma |
Universal Packet Time Slot - A New Paradigm of Designing an ATM Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 21st Conference on Local Computer Networks, Minneapolis, Minnesota, USA, October 13-16, 1996, pp. 468-473, 1996, IEEE Computer Society, 0-8186-7617-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
universal packet time slot, ATM switch design, high speed packet switching, transmission speed, lightwave technology, electronic switching device, FDM, frequency division multiplexing, bit-sliced techniques, output contention, ATM cells, asynchronous transfer mode, bandwidth, time division multiplexing, transmission line, hardware complexity, TDM, processing speed |
42 | Chetana Nagendra, Robert Michael Owens, Mary Jane Irwin |
Design tradeoffs in high speed multipliers and FIR filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 9th International Conference on VLSI Design (VLSI Design 1996), 3-6 January 1996, Bangalore, India, pp. 29-32, 1996, IEEE Computer Society, 0-8186-7228-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
high speed multipliers, high speed FIR filters, modified Booth recoding, pipeline granularity, transistor count, activity factor reduction, guarded evaluation, gate-level pipelining, half-bit level pipelining, bit-level pipelining, delay, clocking, digital filters, FIR filters, multiplying circuits, power dissipation, design tradeoffs, pipeline arithmetic, operation speed |
40 | Pi-Rong Sheu, Charng-Maw Lin |
A fast optimal slot reuse scheme for CRMA high speed networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 22nd IEEE Conference on Local Computer Networks (LCN '97), 2-5 November 1997, Minneapolis, Minnesota, USA, Proceedings, pp. 220-229, 1997, IEEE Computer Society, 0-8186-8141-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
CRMA high speed networks, cyclic-reservation multiple-access, fast optimal slot reuse scheme, high-speed local area networks, high-speed metropolitan area networks, folded-bus configurations, dual-bus configurations, reserve command generation, empty slots reservation, average cycle length, average throughput, average MAC delay, performance measurements, time complexity, computer simulations, NP-complete problem, metropolitan area networks, access delay |
39 | Ravishankar Rao, Sarma B. K. Vrudhula |
Energy optimal speed control of a producer-consumer device pair. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 6(4), pp. 30, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
joint optimization, processor, Energy optimization, disk drive, speed control |
38 | Laura J. Speed, Wessel O. van Dam, Gabriella Vigliocco, Rutvik Desai |
Movement Speed Affects Speed Language Comprehension. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 40th Annual Meeting of the Cognitive Science Society, CogSci 2018, Madison, WI, USA, July 25-28, 2018, 2018, cognitivesciencesociety.org, 978-0-9911967-8-4. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
35 | Lachlan L. H. Andrew, Minghong Lin, Adam Wierman |
Optimality, fairness, and robustness in speed scaling designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: SIGMETRICS 2010, Proceedings of the 2010 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, New York, New York, USA, 14-18 June 2010, pp. 37-48, 2010, ACM, 978-1-4503-0038-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
scheduling, robustness, fairness, energy, SRPT, speed scaling, PS |
35 | Sonja Lehtinen, Clara Cheung, Adar Pelah, Jonathan Cameron, Joan Lasenby |
Step synchronization and third person speed perception in virtual environment locomotion simulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APGV ![In: Proceedings of the 6th Symposium on Applied Perception in Graphics and Visualization, APGV 2009, Chania, Crete, Greece, September 30 - October 2, 2009, pp. 135, 2009, ACM, 978-1-60558-743-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
interaction in virtual environments, speed estimation, step frequency, human gait |
35 | Nikhil Bansal 0001, Tracy Kimbrel, Kirk Pruhs |
Speed scaling to manage energy and temperature. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 54(1), pp. 3:1-3:39, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
power management, voltage scaling, Speed scaling |
35 | Michele C. Weigle, Pankaj Sharma, Jesse R. Freeman IV |
Performance of Competing High-Speed TCP Flows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Networking ![In: NETWORKING 2006 - Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems, 5th International IFIP-TC6 Networking Conference, Coimbra, Portugal, May 15-19, 2006, Proceedings, pp. 476-487, 2006, Springer, 3-540-34192-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
High-speed TCP, performance evaluation, congestion control, network simulation |
35 | Fukiko Hidano |
Signalling on ATM testbeds: an example. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 1st IEEE Real-Time Technology and Applications Symposium, Chicago, Illinois, USA, May 15-17, 1995, pp. 52-53, 1995, IEEE Computer Society, 0-8186-6980-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
telecommunication signalling, telecommunication equipment testing, high-speed links, high-speed switches, ATM testbeds, XUNET testbed, vic, real-time systems, multimedia, protocols, asynchronous transfer mode, multimedia communication, real-time applications, high-speed networking, videoconferencing, signalling, teleconferencing, quality of service guarantees, ISDN, ISDN, virtual circuits, signalling protocols |
34 | Mohamed Soufi, Yvon Savaria, Bozena Kaminska |
On the design of at-speed testable VLSI circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 290-295, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
at-speed testable circuits, testable VLSI circuits, application test time, parallel vectors, stuck-at test, observability problems, probe observation point, VLSI, logic testing, integrated circuit testing, design for testability, design-for-testability, logic design, sequential circuits, sequential circuits, observability, fault coverages, integrated circuit design, integrated logic circuits, operational speed, DFT technique |
34 | Tapan J. Chakraborty, Vishwani D. Agrawal |
Simulation of at-speed tests for stuck-at faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 216-220, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
stuck-at fault detectability, at-speed test simulation, delayed signal transitions, timing hazards, fault simulation method, delay-hazard robust test coverage, timing considerations, high performance circuits, fault diagnosis, logic testing, delays, timing, integrated circuit testing, circuit analysis computing, hazards and race conditions, path delays, high speed test |
32 | Laura J. Speed, Gabriella Vigliocco |
Eye Movements Reveal the Dynamic Simulation of Speed in Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cogn. Sci. ![In: Cogn. Sci. 38(2), pp. 367-382, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
32 | Prasasth Palnati, Mario Gerla, Emilio Leonardi |
Deadlock-free routing in an optical interconnect for high-speed wormhole routing networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 1996 International Conference on Parallel and Distributed Systems (ICPADS '96), June 3-6, 1996, Tokyo, Japan, Proceedings, pp. 256-264, 1996, IEEE Computer Society, 0-8186-7267-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
high-speed wormhole routing networks, Supercomputer SuperNet, two-level hierarchical high-speed network, electronic mesh fabric, WDM optical backbone network, metropolitan area, campus area, backpressure hop-by-hop flow control mechanism, shufflenet multihop virtual topology, physical channels, up/down deadlock free routing scheme, bidirectional shufflenet, optical backbone, multiprocessor interconnection networks, network routing, virtual channels, wavelength division multiplexing, optical interconnections, optical interconnect, deadlock-free routing, deadlock prevention |
32 | Chunming Qiao |
The Optical Future of High-Speed Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High Speed Networks VI, IFIP TC6 WG6.1 & WG6.4 / IEEE ComSoc TC on Gigabit Networking Sixth International Workshop on Protocols for High Speed Networks (PfHSN '99), August 25-27, 1999, Salem, Massachusetts, USA, pp. 9-4, 1999, Kluwer, 0-7923-8690-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP BibTeX RDF |
|
32 | Richard J. Edell, My T. Le, Nick McKeown |
The Bay Bridge: A High Speed Bridge/Router. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High-Speed Networks III, Proceedings of the IFIP WG6.1/WG6.4 Third International Workshop on Protocols for High-Speed Networks, Stockholm, Sweden, 13-15 May, 1992, pp. 203-218, 1992, North-Holland, 0-444-89925-1. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP BibTeX RDF |
|
32 | Wolfgang Zimmer |
FINE: A High-Speed Transport Protocol Family and its Advanced Service Interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High-Speed Networks III, Proceedings of the IFIP WG6.1/WG6.4 Third International Workshop on Protocols for High-Speed Networks, Stockholm, Sweden, 13-15 May, 1992, pp. 255-267, 1992, North-Holland, 0-444-89925-1. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP BibTeX RDF |
|
32 | Thomas E. Schütt, Manny Farber |
The Heidelberg High Speed Transport System: First Performance Results. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High-Speed Networks III, Proceedings of the IFIP WG6.1/WG6.4 Third International Workshop on Protocols for High-Speed Networks, Stockholm, Sweden, 13-15 May, 1992, pp. 35-50, 1992, North-Holland, 0-444-89925-1. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP BibTeX RDF |
|
32 | Y. H. Thia, C. Murray Woodside |
High-Speed OSI Protocol Bypass Altorithm with Window Flow Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High-Speed Networks III, Proceedings of the IFIP WG6.1/WG6.4 Third International Workshop on Protocols for High-Speed Networks, Stockholm, Sweden, 13-15 May, 1992, pp. 53-68, 1992, North-Holland, 0-444-89925-1. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP BibTeX RDF |
|
32 | Greg C. Watson, Samir Tohmé |
A Performance Analysis of S++: A MAC Protocol for High Speed Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High-Speed Networks III, Proceedings of the IFIP WG6.1/WG6.4 Third International Workshop on Protocols for High-Speed Networks, Stockholm, Sweden, 13-15 May, 1992, pp. 87-102, 1992, North-Holland, 0-444-89925-1. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP BibTeX RDF |
|
30 | Luigi Alfredo Grieco, Saverio Mascolo |
TCP Westwood and Easy RED to Improve Fairness in High-Speed Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High Speed Networks, 7th IFIP/IEEE International Workshop, PfHSN 2002, Berlin, Germany, April 22-24, 2002, Proceedings, pp. 130-146, 2002, Springer, 3-540-43658-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | James P. G. Sterbenz |
High-Speed Mobile and Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High Speed Networks, 7th IFIP/IEEE International Workshop, PfHSN 2002, Berlin, Germany, April 22-24, 2002, Proceedings, pp. 227, 2002, Springer, 3-540-43658-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Ming-Der Shieh, Hsin-Fu Lo, Ming-Hwa Sheu |
High-speed generation of LFSR signatures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 222-, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
high-speed generation, LFSR signatures, compaction simulation, single-input signature register, equivalent multiple-input implementation, finite field theory, high-speed signature computations, lookahead technique, internal-XOR LFSR, external-XOR LFSR, performance evaluation, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, BIST, linear feedback shift register, binary sequences, subsequences |
30 | Jong-Arm Jun, Hyuncheol Kim, Kyou-Ho Lee |
High-Speed IP Forwarding Mechanism for an MPOA-Based Switched Router. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 27th Conference on Local Computer Networks, Tampa, Florida, USA, 8-10 November, 2000, pp. 696-697, 2000, IEEE Computer Society, 0-7695-0912-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
frame relay, high-speed IP forwarding mechanism, MPOA-based switched router, high speed router, multiprotocol over ATM, SR-10 system, frame relay, layer-3 transport capability, 10 Gbit/s, Internet, asynchronous transfer mode, local area networks, transport protocols, Ethernet, telecommunication network routing, telecommunication traffic, ATM switching, Internet traffic |
30 | Akihiro Tsutsui, Toshiaki Miyazaki, Kazuhisa Yamada, Naohisa Ohta |
Special purpose FPGA for high-speed digital telecommunication systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 486-491, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
special purpose FPGA, high-speed digital telecommunication systems, high-speed transport data processing, inter-chip connection mechanism, dedicated CAD system, field programmable gate arrays, field programmable gate array, programmable logic arrays, digital communication |
29 | Fan Zhang 0097, Samuel T. Chanson |
Blocking-aware processor voltage scheduling for real-time tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 3(2), pp. 307-335, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
non-preemptible sections, real-time systems, Dynamic power management, power-aware scheduling |
29 | Chao-Wen Tseng, James Li, Edward J. McCluskey |
Experimental Results for Slow-Speed Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, USA, pp. 37-42, 2002, IEEE Computer Society, 0-7695-1570-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
28 | Chung-Chih Li |
Speed-Up Theorems in Type-2 Computations Using Oracle Turing Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theory Comput. Syst. ![In: Theory Comput. Syst. 45(4), pp. 880-896, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Type-2 computation, Oracle Turing machine, Speed-up theorem |
28 | Jani Lylykangas, Veikko Surakka, Jussi Rantala, Jukka Raisamo, Roope Raisamo, Esa Tuulari |
Vibrotactile information for intuitive speed regulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BCS HCI ![In: Proceedings of the 2009 British Computer Society Conference on Human-Computer Interaction, BCS-HCI 2009, Cambridge, United Kingdom, 1-5 September 2009, pp. 112-119, 2009, ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
speed regulation, tactile feedback, non-visual interaction, tactile icons |
28 | Anatole Lécuyer, Jean-Marie Burkhardt, Chee-Hian Tan |
A study of the modification of the speed and size of the cursor for simulating pseudo-haptic bumps and holes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Appl. Percept. ![In: ACM Trans. Appl. Percept. 5(3), pp. 14:1-14:21, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
control/display ratio, texture, size, speed, cursor, hole, Pseudo-haptic, bump |
28 | Vikram Iyengar, Gary Grise, Mark Taylor 0001 |
A flexible and scalable methodology for GHz-speed structural test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 314-319, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
asynchronous clock domains, deskewer, test waveform generator, ASICs, structural test, at-speed |
28 | Tapan J. Chakraborty, Vishwani D. Agrawal |
Design for high-speed testability of stuck-at faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 9th International Conference on VLSI Design (VLSI Design 1996), 3-6 January 1996, Bangalore, India, pp. 53-56, 1996, IEEE Computer Society, 0-8186-7228-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
design for high-speed testability, stuck-at fault detection, signal transition, timing hazard, multivalue algebra, dh-robust test, sequential feedback, reconvergent fanout, cycle-free sequential circuit, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, partial scan, test generation algorithm, critical path delay |
28 | Karl J. Schrodi |
High Speed Networks for Carriers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High Speed Networks, 7th IFIP/IEEE International Workshop, PfHSN 2002, Berlin, Germany, April 22-24, 2002, Proceedings, pp. 229-242, 2002, Springer, 3-540-43658-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
28 | Yuji Waizumi, Nei Kato, Kazuki Saruta, Yoshiaki Nemoto |
High speed rough classification for handwritten characters using hierarchical learning vector quantization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 4th International Conference Document Analysis and Recognition (ICDAR '97), 2-Volume Set, August 18-20, 1997, Ulm, Germany, Proceedings, pp. 23-27, 1997, IEEE Computer Society, 0-8186-7898-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
high speed rough classification, hierarchical learning vector quantization, local minima problem, rough classification, fine recognition, HLVQ, adjacent feature spaces, classification speed, overlapping technique, ETL9B, neural network, handwriting recognition, back propagation, feature space, Japan, hierarchical architecture, Chinese characters, handwritten characters |
28 | Ridha Djemal, Guy Mazaré, Gérard Michel |
Toward reconfigurable associative architecture for high speed communication operators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECBS ![In: IEEE Symposium and Workshop on Engineering of Computer Based Systems (ECBS'96), March 11-15, 1996, Friedrichshafen, Germany., pp. 74-79, 1996, IEEE Computer Society, 0-8186-7355-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
reconfigurable associative architecture, high speed communication operators, associative organization, complex data structures, structured addressable associative memory, high speed communication protocols, CAM architectures, SYMOPSYS tool, architecture validation, FPGA, real-time systems, real-time, asynchronous transfer mode, asynchronous transfer mode, local area networks, local area network, reconfigurable architectures, memory architecture, LAN, content-addressable storage |
28 | Ulrich Ramacher, Wolfgang Raab, J. A. Ulrich Hachmann, Jörg Beichter, Nico Brüls, Matthias Wesseling, Elisabeth Sicheneder, Joachim Gläß, Andreas Wurz, Reinhard Männer |
SYNAPSE-1: a high-speed general purpose parallel neurocomputer system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 774-781, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
SYNAPSE-1, high-speed general purpose parallel neurocomputer system, neural algorithms, neuro signal processor MA16, general purpose microprocessors, multiprocessor system, systolic arrays, systolic array, neural nets, test algorithm, neural net architecture, processing speed |
28 | Shoujue Wang, Xunwei Wu, Hongjuan Feng |
The High-Speed Ternary Logic Gates Based on the Multiple beta Transistors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 25th IEEE International Symposium on Multiple-Valued Logic, ISMVL 1995, Bloomington, Indiana, USA, May 23-25, 1995, Proceedings, pp. 178-181, 1995, IEEE Computer Society, 0-8186-7118-1. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
high speed ternary logic gates, multiple /spl beta/ transistors, multiple emitter transistor, current gain, linear AND/OR gates, multi valued literal circuits, high speed multi valued logic circuits, multivalued logic circuits, logic gates, ternary logic, transistors |
28 | S. Y. Kulkarni, K. D. Patil, K. V. V. Murthy |
Transmission line model parameters for very high speed VLSI interconnects in MCMs using FEM with special elements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 260-263, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
very high speed integrated circuits, transmission line theory, integrated circuit packaging, transmission line model parameters, very high speed VLSI interconnects, higher order isoparametric elements, 2D interconnect/dielectric packaging structures, quadrilateral infinite elements, signal conductor boundaries, sharp corners, finite element method, finite element analysis, computation time, multichip modules, multichip modules, FEM, MCM, integrated circuit interconnections, VLSI interconnects |
28 | David W. Pritty, Duncan N. Smeed, Norman L. Lawrie |
A new class of high speed LAN access protocols based on the principle of timed packet release. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 20th Conference on Local Computer Networks (LCN'95), Minneapolis, Minnesota, USA, October 16-19, 1995, pp. 443-452, 1995, IEEE Computer Society, 0-8186-7162-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
timed packet release, high speed local area network, bus structures, TPR, performance evaluation, performance, discrete event simulation, discrete event simulation, local area networks, access protocols, access protocols, comparative evaluation, high speed LAN |
27 | Lee Lichtenstein, James Barabas, Russell L. Woods, Eli Peli |
A feedback-controlled interface for treadmill locomotion in virtual environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Appl. Percept. ![In: ACM Trans. Appl. Percept. 4(1), pp. 7, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
speed-matching, Locomotion, low-vision, treadmill |
27 | Shuai Yan, Dianguo Xu 0001, Gaolin Wang, Ming Yang 0006, Yong Yu 0007, Xianguo Gui |
Low Speed Control of PMAC Servo System Based on Reduced-order Observer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 4886-4889, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Abbie Speed, Alycia Burnett, Tom Robinson II |
Beyond the Game: Understanding why people enjoy viewing Twitch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Entertain. Comput. ![In: Entertain. Comput. 45, pp. 100545, March 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
27 | Laura J. Speed, Josje de Valk, Ilja Croijmans, John L. A. Huisman, Asifa Majid |
Odor-Color Associations Are Not Mediated by Concurrent Verbalization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cogn. Sci. ![In: Cogn. Sci. 47(4), April 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
27 | Ezgi Mamus, Laura J. Speed, Lilia Rissman, Asifa Majid, Asli Özyürek |
Lack of Visual Experience Affects Multimodal Language Production: Evidence From Congenitally Blind and Sighted People. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cogn. Sci. ![In: Cogn. Sci. 47(1), January 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
27 | Ryan Bowler, Chris Speed, Geoffrey Goodell |
Money: Who Has a Stake in the Most Value-Centric Common Design Material? ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2307.06563, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
27 | Ann E. Speed |
Assessing the nature of large language models: A caution against anthropocentrism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2309.07683, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
27 | Ryan Bowler, Chris Speed, Geoffrey Goodell, Joe Revans |
A Non-Custodial Wallet for CBDC: Design Challenges and Opportunities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2307.05167, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
27 | Santiago Torres-Arias, Daniel E. Geer, John Speed Meyers |
A Viewpoint on Knowing Software: Bill of Materials Quality When You See It. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Secur. Priv. ![In: IEEE Secur. Priv. 21(6), pp. 50-54, November - December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
27 | Ezgi Mamus, Laura J. Speed, Gerardo Ortega, Asifa Majid, Asli Özyürek |
Lack of visual experience influences silent gesture productions for concepts across semantic categories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 45th Annual Meeting of the Cognitive Science Society, CogSci 2023, Sydney, NSW, Australia, July 26-29, 2023, 2023, cognitivesciencesociety.org. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP BibTeX RDF |
|
27 | Duc-Ly Vu, Zachary Newman, John Speed Meyers |
Bad Snakes: Understanding and Improving Python Package Index Malware Scanning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 45th IEEE/ACM International Conference on Software Engineering, ICSE 2023, Melbourne, Australia, May 14-20, 2023, pp. 499-511, 2023, IEEE, 978-1-6654-5701-9. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
27 | Dave Murray-Rust, Chris Elsden, Bettina Nissen, Ella Tallyn, Larissa Pschetz, Chris Speed |
Blockchain and Beyond: Understanding Blockchains Through Prototypes and Public Engagement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Hum. Interact. ![In: ACM Trans. Comput. Hum. Interact. 29(5), pp. 41:1-41:73, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
27 | Duc-Ly Vu, Zachary Newman, John Speed Meyers |
A Benchmark Comparison of Python Malware Detection Approaches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2209.13288, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
27 | Dan Ruta, Andrew Gilbert, Pranav Aggarwal, Naveen Marri, Ajinkya Kale, Jo Briggs, Chris Speed, Hailin Jin, Baldo Faieta, Alex Filipkowski, Zhe Lin 0001, John P. Collomosse |
StyleBabel: Artistic Style Tagging and Captioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2203.05321, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
27 | Thomas D. Pike, Robert Colter, Mark M. Bailey, Jackie Kazil, John Speed Meyers |
Social Networks as a Collective Intelligence: An Examination of the Python Ecosystem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2201.06040, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
27 | Terence P. Speed, Damien G. Hicks |
Spectral PCA for MANOVA and data over binary trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Multivar. Anal. ![In: J. Multivar. Anal. 188, pp. 104905, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
27 | Zachary Newman, John Speed Meyers, Santiago Torres-Arias |
Sigstore: Software Signing for Everybody. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security, CCS 2022, Los Angeles, CA, USA, November 7-11, 2022, pp. 2353-2367, 2022, ACM, 978-1-4503-9450-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
27 | Keqi Chen, Xinyi Fu, Chris Speed |
User-friendly interactive affective system to leverage aggressive driving behavior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCHI ![In: Proceedings of the Tenth International Symposium of Chinese CHI, Chinese CHI 2022, Guangzhou, China and Online, China, October 22-23, 2022, pp. 50-61, 2022, ACM, 978-1-4503-9869-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
27 | Dan Ruta, Andrew Gilbert, Pranav Aggarwal, Naveen Marri, Ajinkya Kale, Jo Briggs, Chris Speed, Hailin Jin, Baldo Faieta, Alex Filipkowski, Zhe Lin 0001, John P. Collomosse |
StyleBabel: Artistic Style Tagging and Captioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECCV (8) ![In: Computer Vision - ECCV 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part VIII, pp. 219-236, 2022, Springer, 978-3-031-20073-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
27 | Julius Musseau, John Speed Meyers, George P. Sieniawski, C. Albert Thompson, Daniel M. Germán |
Is Open Source Eating the World's Software? Measuring the Proportion of Open Source in Proprietary Software Using Java Binaries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSR ![In: 19th IEEE/ACM International Conference on Mining Software Repositories, MSR 2022, Pittsburgh, PA, USA, May 23-24, 2022, pp. 561-565, 2022, ACM, 978-1-4503-9303-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
27 | Melissa Terras, Stephen Coleman, Steven Drost, Chris Elsden, Ingi Helgason, Susan Lechelt, Nicola Osborne, Inge Panneels, Briana Pegado, Burkhard Schafer 0001, Michael Smyth, Pip Thornton, Chris Speed |
The value of mass-digitised cultural heritage content in creative contexts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Big Data Soc. ![In: Big Data Soc. 8(1), pp. 205395172110061, January 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
27 | Laura J. Speed, Hannah Atkinson, Ewelina Wnuk, Asifa Majid |
The Sound of Smell: Associating Odor Valence With Disgust Sounds. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cogn. Sci. ![In: Cogn. Sci. 45(5), 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
27 | Dave Murray-Rust, Chris Elsden, Bettina Nissen, Ella Tallyn, Larissa Pschetz, Chris Speed |
Blockchain and Beyond: Understanding Blockchains through Prototypes and Public Engagement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2112.11891, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
27 | Laura J. Speed, Behzad Iravani, Johan N. Lundström, Asifa Majid |
Can losing the sense of smell affect odor language? ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 43rd Annual Meeting of the Cognitive Science Society, CogSci 2021, virtual, July 26-29, 2021, 2021, cognitivesciencesociety.org. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
27 | Ezgi Mamus, Laura J. Speed, Asli Özyürek, Asifa Majid |
Sensory Modality of Input Influences the Encoding of Motion Events in Speech But Not Co-Speech Gestures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 43rd Annual Meeting of the Cognitive Science Society, CogSci 2021, virtual, July 26-29, 2021, 2021, cognitivesciencesociety.org. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
27 | Anuradha Venugopal Reddy, Ahmet Baki Kocaballi, Iohanna Nicenboim, Marie Louise Juul Søndergaard, Maria Luce Lupetti, Cayla Key, Chris Speed, Dan Lockton, Elisa Giaccardi, Francisca Grommé, Holly Robbins, Namrata Primlani, Paulina Yurman, Shanti Sumartojo, Thao Phan, Viktor Bedö, Yolande A. A. Strengers |
Making Everyday Things Talk: Speculative Conversations into the Future of Voice Interfaces at Home. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: CHI '21: CHI Conference on Human Factors in Computing Systems, Virtual Event / Yokohama Japan, May 8-13, 2021, Extended Abstracts., pp. 23:1-23:16, 2021, ACM, 978-1-4503-8095-9. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
27 | Nicola J. Bidwell, Chris Elsden, Ludwig Trotter, Josh Hallwright, Sadie Moore, Kate Jeite-Delbridge, Mike Harding, Peter Shaw 0003, Nigel Davies 0001, Chris Speed, John Vines |
A Right Time to Give: Beyond Saving Time in Automated Conditional Donations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: CHI '21: CHI Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021, pp. 49:1-49:20, 2021, ACM, 978-1-4503-8096-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
27 | Chris Elsden, Evan Morgan, Chris Speed |
Creative Transactions: Special Digital Monies in 'Break Kickstarter' Crowdfunding Campaigns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: CHI '21: CHI Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021, pp. 638:1-638:13, 2021, ACM, 978-1-4503-8096-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
27 | Kruakae Pothong, Chris Speed, Ruth Catlow, Billy Dixon, Evan Morgan, Bran Knowles, Georgia Newmarch, Daniel Richards 0001, Leon Cruickshank |
Deliberating Data-Driven Societies Through Live Action Role Play. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conference on Designing Interactive Systems ![In: DIS '21: Designing Interactive Systems Conference 2021, Virtual Event, USA, 28 June, July 2, 2021., pp. 1726-1738, 2021, ACM, 978-1-4503-8476-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
27 | Leon Derczynski, Manuel R. Ciosici, Rebekah Baglini, Morten H. Christiansen, Jacob Aarup Dalsgaard, Riccardo Fusaroli, Peter Juel Henrichsen, Rasmus Hvingelby, Andreas Kirkedal, Alex Speed Kjeldsen, Claus Ladefoged, Finn Årup Nielsen, Jens Madsen, Malte Lau Petersen, Jonathan Hvithamar Rystrøm, Daniel Varab |
The Danish Gigaword Corpus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NoDaLiDa ![In: Proceedings of the 23rd Nordic Conference on Computational Linguistics, NoDaLiDa 2021, Reykjavik, Iceland (Online), May 31 - June 2, 2021, pp. 413-421, 2021, Linköping University Electronic Press, Sweden, 978-91-7929-614-8. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
27 | Anna Quaglieri, Christoffer Flensburg, Terence P. Speed, Ian J. Majewski |
Finding a suitable library size to call variants in RNA-Seq. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMC Bioinform. ![In: BMC Bioinform. 21(1), pp. 553, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
27 | Ilja Croijmans, Laura J. Speed, Artin Arshamian, Asifa Majid |
Expertise Shapes Multimodal Imagery for Wine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cogn. Sci. ![In: Cogn. Sci. 44(5), 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
27 | Leon Derczynski, Rebekah Baglini, Morten H. Christiansen, Manuel R. Ciosici, Jacob Aarup Dalsgaard, Riccardo Fusaroli, Peter Juel Henrichsen, Rasmus Hvingelby, Andreas Kirkedal, Alex Speed Kjeldsen, Claus Ladefoged, Finn Årup Nielsen, Malte Lau Petersen, Jonathan Hvithamar Rystrøm, Daniel Varab |
The Danish Gigaword Project. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2005.03521, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
27 | Dan Geer, Bentz Tozer, John Speed Meyers |
For Good Measure: Counting Broken Links: A Quant's View of Software Supply Chain Security. ![Search on Bibsonomy](Pics/bibsonomy.png) |
login Usenix Mag. ![In: login Usenix Mag. 45(4), 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
27 | Ludwig Trotter, Mike Harding, Chris Elsden, Nigel Davies 0001, Chris Speed |
A Mobile Platform for Event-Driven Donations Using Smart Contracts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HotMobile ![In: HotMobile '20: The 21st International Workshop on Mobile Computing Systems and Applications, Austin, TX, USA, March 3-4, 2020, pp. 108, 2020, ACM, 978-1-4503-7116-2. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
27 | Chris Elsden, Tom Feltwell, Belén Barros Pena, Bettina Nissen, Inte Gloerich, Chris Speed, John Vines |
Designing Futures of Money and FinTech. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conference on Designing Interactive Systems (Companion Volume) ![In: DIS '20: Designing Interactive Systems Conference 2020, Eindhoven, The Netherlands, July 6-10, 2020, Companion Volume, pp. 429-432, 2020, ACM, 978-1-4503-7987-8. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
27 | Susan Lechelt, Katerina Gorkovenko, Luis Lourenço Soares, Chris Speed, James K. Thorp, Michael Stead |
Designing for the End of Life of IoT Objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conference on Designing Interactive Systems (Companion Volume) ![In: DIS '20: Designing Interactive Systems Conference 2020, Eindhoven, The Netherlands, July 6-10, 2020, Companion Volume, pp. 417-420, 2020, ACM, 978-1-4503-7987-8. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
27 | Jonathan Rankin, Chris Elsden, Ian Sibbald, Alan Stevenson, John Vines, Chris Speed |
PizzaBlock: Designing Artefacts and Roleplay to Understand Decentralised Identity Management Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conference on Designing Interactive Systems ![In: DIS '20: Designing Interactive Systems Conference 2020, Eindhoven, The Netherlands, July 6-10, 2020, pp. 1593-1606, 2020, ACM, 978-1-4503-6974-9. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
27 | Ludwig Trotter, Mike Harding, Peter Shaw 0003, Nigel Davies 0001, Chris Elsden, Chris Speed, John Vines, Aydin Abadi, Josh Hallwright |
Smart Donations: Event-Driven Conditional Donations Using Smart Contracts On The Blockchain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OZCHI ![In: OzCHI '20: 32nd Australian Conference on Human-Computer-Interaction, Sydney, NSW, Australia, 2-4 December, 2020, pp. 546-557, 2020, ACM, 978-1-4503-8975-4. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
27 | Chris Elsden, Kate Symons, Raluca Bunduchi, Chris Speed, John Vines |
Sorting Out Valuation in the Charity Shop: Designing for Data-Driven Innovation through Value Translation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. ACM Hum. Comput. Interact. ![In: Proc. ACM Hum. Comput. Interact. 3(CSCW), pp. 109:1-109:25, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
27 | Chengzhong Ye, Terence P. Speed, Agus Salim |
DECENT: differential expression with capture efficiency adjustmeNT for single-cell RNA-seq data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Bioinform. ![In: Bioinform. 35(24), pp. 5155-5162, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
27 | Timothy J. Peters, Hugh J. French, Stephen T. Bradford, Ruth Pidsley, Clare Stirzaker, Hilal Varinli, Shalima Nair, Wenjia Qu, Jenny Song, Katherine A. Giles, Aaron L. Statham, Helen Speirs, Terence P. Speed, Susan J. Clark |
Evaluation of cross-platform and interlaboratory concordance via consensus modelling of genomic measurements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Bioinform. ![In: Bioinform. 35(4), pp. 560-570, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
27 | Damien G. Hicks, Terence P. Speed, Mohammed Yassin, Sarah M. Russell |
Maps of variability in cell lineage trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLoS Comput. Biol. ![In: PLoS Comput. Biol. 15(2), 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
27 | Patricia S. Moyer-Packenham, Christina W. Lommatsch, Kristy Litster, Jill Ashby, Emma K. Bullock, Allison L. Roxburgh, Jessica F. Shumway, Emily Speed, Benjamin Covington, Christine Hartmann, Jody Clarke-Midura, Joel Skaria, Arla Westenskow, Beth MacDonald, Jürgen Symanzik, Kerry Jordan |
How design features in digital math games support learning and mathematics connections. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Hum. Behav. ![In: Comput. Hum. Behav. 91, pp. 316-332, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
27 | Bettina Nissen, Victoria Neumann, Mateusz Mikusz, Rory Gianni, Sarah Clinch, Chris Speed, Nigel Davies 0001 |
Should I Agree?: Delegating Consent Decisions Beyond the Individual. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2019 CHI Conference on Human Factors in Computing Systems, CHI 2019, Glasgow, Scotland, UK, May 04-09, 2019, pp. 515, 2019, ACM, 978-1-4503-5970-2. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
27 | Larissa Pschetz, Kruakae Pothong, Chris Speed |
Autonomous Distributed Energy Systems: Problematising the Invisible through Design, Drama and Deliberation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2019 CHI Conference on Human Factors in Computing Systems, CHI 2019, Glasgow, Scotland, UK, May 04-09, 2019, pp. 387, 2019, ACM, 978-1-4503-5970-2. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
27 | Chris Elsden, Ludwig Trotter, Mike Harding, Nigel Davies 0001, Chris Speed, John Vines |
Programmable Donations: Exploring Escrow-Based Conditional Giving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2019 CHI Conference on Human Factors in Computing Systems, CHI 2019, Glasgow, Scotland, UK, May 04-09, 2019, pp. 379, 2019, ACM, 978-1-4503-5970-2. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
27 | Irni Eliana Khairuddin, Corina Sas, Chris Speed |
BlocKit: A Physical Kit for Materializing and Designing for Blockchain Infrastructure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conference on Designing Interactive Systems ![In: Proceedings of the 2019 on Designing Interactive Systems Conference, DIS 2019, San Diego, CA, USA, June 23-28, 2019., pp. 1449-1462, 2019, ACM, 978-1-4503-5850-7. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
27 | Leon Derczynski, Alex Speed Kjeldsen |
Bornholmsk Natural Language Processing: Resources and Tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NODALIDA ![In: Proceedings of the 22nd Nordic Conference on Computational Linguistics, NoDaLiDa 2019, Turku, Finland, September 30 - October 2, 2019, pp. 338-344, 2019, Linköping University Electronic Press, 978-91-7929-995-8. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
27 | Susan Lechelt, Chris Elsden, Ingi Helgason, Inge Panneels, Michael Smyth, Chris Speed, Melissa Terras |
How Can We Balance Research, Participation and Innovation as HCI Researchers? ![Search on Bibsonomy](Pics/bibsonomy.png) |
HTTF ![In: Proceedings of the Halfway to the Future Symposium 2019, HTTF 2019, Nottingham, UK, November 19-20, 2019., pp. 10:1-10:4, 2019, ACM, 978-1-4503-7203-9. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
27 | Ella Tallyn, Larissa Pschetz, Rory Gianni, Chris Speed, Chris Elsden |
Exploring Machine Autonomy and Provenance Data in Coffee Consumption: A Field Study of Bitbarista. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. ACM Hum. Comput. Interact. ![In: Proc. ACM Hum. Comput. Interact. 2(CSCW), pp. 170:1-170:25, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
27 | Laura J. Speed, Asifa Majid |
An Exception to Mental Simulation: No Evidence for Embodied Odor Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cogn. Sci. ![In: Cogn. Sci. 42(4), pp. 1146-1178, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
27 | Ann E. Speed, Bryana L. Woo, Camron G. Kouhestani, Jaclynn J. Stubbs, Gabriel C. Birch |
Human Factors in Security. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCST ![In: 2018 International Carnahan Conference on Security Technology, ICCST 2018, Montreal, QC, Canada, October 22-25, 2018, pp. 1-5, 2018, IEEE, 978-1-5386-7931-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
27 | Laura J. Speed, Asifa Majid |
Music and Odor in Harmony: A Case of Music-Odor Synaesthesia. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 40th Annual Meeting of the Cognitive Science Society, CogSci 2018, Madison, WI, USA, July 25-28, 2018, 2018, cognitivesciencesociety.org, 978-0-9911967-8-4. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
27 | Chris Elsden, Bettina Nissen, Karim Jabbar, Reem Talhouk, Caitlin Lustig, Paul Dunphy, Chris Speed, John Vines |
HCI for Blockchain: Studying, Designing, Critiquing and Envisioning Distributed Ledger Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts of the 2018 CHI Conference on Human Factors in Computing Systems, CHI 2018, Montreal, QC, Canada, April 21-26, 2018, 2018, ACM, 978-1-4503-5621-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
27 | Chris Elsden, Arthi Manohar, Jo Briggs, Mike Harding, Chris Speed, John Vines |
Making Sense of Blockchain Applications: A Typology for HCI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2018 CHI Conference on Human Factors in Computing Systems, CHI 2018, Montreal, QC, Canada, April 21-26, 2018, pp. 458, 2018, ACM, 978-1-4503-5620-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
27 | Bettina Nissen, Larissa Pschetz, Dave Murray-Rust, Hadi Mehrpouya, Shaune Oosthuizen, Chris Speed |
GeoCoin: Supporting Ideation and Collaborative Design with Smart Contracts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2018 CHI Conference on Human Factors in Computing Systems, CHI 2018, Montreal, QC, Canada, April 21-26, 2018, pp. 163, 2018, ACM, 978-1-4503-5620-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 46261 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|