The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase thermal-aware (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2002-2005 (17) 2006 (15) 2007 (23) 2008 (25) 2009 (26) 2010 (28) 2011 (26) 2012 (34) 2013 (38) 2014 (29) 2015 (45) 2016 (35) 2017 (33) 2018 (32) 2019 (36) 2020 (24) 2021 (22) 2022 (32) 2023 (21) 2024 (1)
Publication types (Num. hits)
article(221) inproceedings(320) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 89 occurrences of 61 keywords

Results
Found 542 publication records. Showing 542 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
90Zhenyu (Peter) Gu, Yonghong Yang, Jia Wang 0003, Robert P. Dick, Li Shang TAPHS: thermal-aware unified physical-level and high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
80Chee How Lim, W. Robert Daasch, George Cai A Thermal-Aware Superscalar Microprocessor (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
79Jing Li, Hiroshi Miyashita Efficient Thermal Via Planning for Placement of 3D Integrated Circuits. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
76Haixia Yan, Qiang Zhou 0001, Xianlong Hong Efficient Thermal Aware Placement Approach Integrated with 3D DCT Placement Algorithm. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF placement, DCT, 3D, thermal
73Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha System-Level Dynamic Thermal Management for High-Performance Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
73Jing Li, Hiroshi Miyashita Post-placement Thermal Via Planning for 3D Integrated Circuit. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
71Benjamin Carrión Schäfer, Taewhan Kim Hotspots Elimination and Temperature Flattening in VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
69Alexandre Peixoto Ferreira, Daniel Mossé, Jae C. Oh Thermal Faults Modeling Using a RC Model with an Application to Web Farms. Search on Bibsonomy ECRTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
66Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha HybDTM: a coordinated hardware-software approach for dynamic thermal management. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hybrid hardware-software management, thermal model, dynamic thermal management
65Yuan Xie 0001, Wei-Lun Hung Temperature-Aware Task Allocation and Scheduling for Embedded Multiprocessor Systems-on-Chip (MPSoC) Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF thermal-aware design, scheduling, embedded system design, system-on-chip design
63Liqiang He, Cha Narisu A Fast Scheme to Investigate Thermal-Aware Scheduling Policy for Multicore Processors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
59David Cuesta, José Luis Ayala, José Ignacio Hidalgo, Massimo Poncino, Andrea Acquaviva, Enrico Macii Thermal-aware floorplanning exploration for 3D multi-core architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 3D, floorplanning, MPSoC, temperature
59Ting-Yuan Wang, Jeng-Liang Tsai, Charlie Chung-Ping Chen Thermal and Power Integrity Based Power/Ground Networks Optimization. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Jin Cui, Douglas L. Maskell Dynamic thermal-aware scheduling on chip multiprocessor for soft real-time system. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic tas, post thermal map, cmp, soft real-time
55Dong Li 0001, Hung-Ching Chang, Hari K. Pyla, Kirk W. Cameron System-level, thermal-aware, fully-loaded process scheduling. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty, Hideo Fujiwara Test infrastructure design for core-based system-on-chip under cycle-accurate thermal constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF TAM design, thermal-aware test, wrapper design, test scheduling, SOC test
52Pilok Lim, Taewhan Kim Thermal-aware high-level synthesis based on network flow method. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power consumption, temperature, binding
52Jun Yang 0002, Xiuyi Zhou, Marek Chrobak, Youtao Zhang, Lingling Jin Dynamic Thermal Management through Task Scheduling. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
48Wei-Lun Hung, Yuan Xie 0001, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Thermal-Aware Task Allocation and Scheduling for Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
48Xin Li, Yuchun Ma, Xianlong Hong A novel thermal optimization flow using incremental floorplanning for 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
45Junbo Yu, Qiang Zhou 0001, Jinian Bian Peak temperature control in thermal-aware behavioral synthesis through allocating the number of resources. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
45José Luis Ayala, David Atienza, Philip Brisk Thermal-aware data flow analysis. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, thermal management
45Ja Chun Ku, Yehea I. Ismail Thermal-Aware Methodology for Repeater Insertion in Low-Power VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Ja Chun Ku, Yehea I. Ismail Thermal-aware methodology for repeater insertion in low-power VLSI circuits. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
45Jeonghwan Choi, Chen-Yong Cher, Hubertus Franke, Hendrik F. Hamann, Alan J. Weger, Pradip Bose Thermal-aware task scheduling at the system software level. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
42Zhiyuan He 0002, Zebo Peng, Petru Eles, Paul M. Rosinger, Bashir M. Al-Hashimi Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Thermal-aware testing, Test scheduling, SoC testing
41Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Thermal Management of On-Chip Caches Through Power Density Minimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Kiran Puttaswamy, Gabriel H. Loh Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Ja Chun Ku, Yehea I. Ismail Attaining Thermal Integrity in Nanometer Chips. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Salvatore Carta, Andrea Acquaviva, Pablo García Del Valle, David Atienza, Giovanni De Micheli, Fernando Rincón, Luca Benini, Jose Manuel Mendias Multi-processor operating system emulation framework with thermal feedback for systems-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal studies, FPGA, operating system, emulation, MPSoC
41Kyriakos Stavrou, Pedro Trancoso TSIC: Thermal Scheduling Simulator for Chip Multiprocessors. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Kostas Siozios, Dimitrios Soudris, Antonios Thanailakis Designing Alternative FPGA Implementations Using Spatial Data from Hardware Resources. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Chih-Hao Chao, Kai-Yuan Jheng, Hao-Yu Wang, Jia-Cheng Wu, An-Yeu Wu Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF run-time thermal management, 3D NoC, routing, 3D IC, traffic-aware, throttling, thermal-aware
38Shahin Golshan, Eli Bozorgzadeh, Benjamin Carrión Schäfer, Kazutoshi Wakabayashi, Houman Homayoun, Alexander V. Veidenbaum Exploiting power budgeting in thermal-aware dynamic placement for reconfigurable systems. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF computer aided design, placement, dynamic reconfiguration, temperature, reconfigurable systems
38Sushu Zhang, Karam S. Chatha System-level thermal aware design of applications with uncertain execution time. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Yici Cai, Bin Liu 0007, Qiang Zhou 0001, Xianlong Hong A Thermal Aware Floorplanning Algorithm Supporting Voltage Islands for Low Power SOC Design. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Shilpa Bhoj, Dinesh Bhatia Thermal Modeling and Temperature Driven Placement for FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Yongpan Liu, Yu Wang 0002, Feng Zhang 0014, Rong Luo, Hui Wang 0004 A New Thermal-Conscious System-Level Methodology for Energy-Efficient Processor Voltage Selection. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Kyriakos Stavrou, Pedro Trancoso Thermal-Aware Scheduling: A Solution for Future Chip Multiprocessors Thermal Problems. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Mohit Pathak, Sung Kyu Lim Thermal-aware Steiner routing for 3D stacked ICs. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Javid Jaffari, Mohab Anis Thermal-Aware Placement for FPGAs Using Electrostatic Charge Model. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Chunchen Liu, Ruei-Xi Chen, Jichang Tan, Sharon Fan, Jeffrey Fan, Kia Makki Thermal aware clock synthesis considering stochastic variation and correlations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Syed M. Alam, Donald E. Troxel, Carl V. Thompson Thermal aware cell-based full-chip electromigration reliability analysis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IC thermal analysis, full-chip reliability, reliability aware design, reliability characterization, electromigration
34Michael Jonas, Georgios Varsamopoulos, Sandeep K. S. Gupta On developing a fast, cost-effective and non-invasive method to derive data center thermal maps. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Thermal Management of On-Chip Caches Through Power Density Minimization. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Yen-Wei Wu, Chia-Lin Yang, Ping-Hung Yuh, Yao-Wen Chang Joint exploration of architectural and physical design spaces with thermal consideration. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architectural floorplanning, performance, thermal
34Chunchen Liu, Junjie Su, Yiyu Shi 0001 Temperature-aware clock tree synthesis considering spatiotemporal hot spot correlations. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Mohamed M. Sabry, José L. Ayala, David Atienza Thermal-aware compilation for system-on-chip processing architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compiler, register-file, thermal-aware
32Sushu Zhang, Karam S. Chatha Thermal aware task sequencing on embedded processors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF thermal aware sequencing, dynamic power management, dynamic voltage/frequency scaling
31Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir Thermal Aware Global Routing of VLSI Chips for Enhanced Reliability. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reliability, global routing, thermal
31Wei-Lun Hung, Greg M. Link, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin Interconnect and Thermal-aware Floorplanning for 3D Microprocessors. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Shih-An Yu, Pei-Yu Huang, Yu-Min Lee A multiple supply voltage based power reduction method in 3-D ICs considering process variations and thermal effects. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Fabrizio Mulas, Michele Pittau, Marco Buttu, Salvatore Carta, Andrea Acquaviva, Luca Benini, David Atienza, Giovanni De Micheli Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Prasanth Mangalagiri, Sungmin Bae, Krishnan Ramakrishnan, Yuan Xie 0001, Vijaykrishnan Narayanan Thermal-aware reliability analysis for platform FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Qinghui Tang, Naveen Tummala, Sandeep K. S. Gupta, Loren Schwiebert TARA: Thermal-Aware Routing Algorithm for Implanted Sensor Networks. Search on Bibsonomy DCOSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Wei-Lun Hung, Yuan Xie 0001, Narayanan Vijaykrishnan, Charles Addo-Quaye, Theo Theocharides, Mary Jane Irwin Thermal-Aware Floorplanning Using Genetic Algorithms. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yousra Alkabani, Farinaz Koushanfar, Miodrag Potkonjak N-version temperature-aware scheduling and binding. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF N-variants, high-level synthesis, temperature control
27Min Ni, Seda Ogrenci Memik Self-heating-aware optimal wire sizing under Elmore delay model. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Power density minimization for highly-associative caches in embedded processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache, embedded processor, leakage power, temperature
27Tridib Mukherjee, Georgios Varsamopoulos, Sandeep K. S. Gupta, Sanjay Rungta Measurement-based power profiling of data center equipment. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Pei-Yu Huang, Jia-Hong Wu, Yu-Min Lee Stochastic thermal simulation considering spatial correlated within-die process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Yuchun Ma, Yongxiang Liu, Eren Kursun, Glenn Reinman, Jason Cong Investigating the effects of fine-grain three-dimensional integration on microarchitecture design. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D packing, microarchitecture, 3D integration, thermal
24Love Singhal, Sejong Oh, Eli Bozorgzadeh Statistical power profile correlation for realistic thermal estimation. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Xiuyi Zhou, Yi Xu, Yu Du, Youtao Zhang, Jun Yang 0002 Thermal Management for 3D Processors via Task Scheduling. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Tridib Mukherjee, Qinghui Tang, Corbett Ziesman, Sandeep K. S. Gupta, Phil Cayton Software Architecture for Dynamic Thermal Management in Datacenters. Search on Bibsonomy COMSWARE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Shabnam Jalili Marandi, Mehdi Golsorkhtabaramiri, Mehdi Hosseinzadeh 0001, Somayyeh Jafarali Jassbi IoT based thermal aware routing protocols in wireless body area networks: Survey: IoT based thermal aware routing in WBAN. Search on Bibsonomy IET Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Jason Cong, Guojie Luo, Jie Wei, Yan Zhang Thermal-Aware 3D IC Placement Via Transformation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Zhiyuan He 0002, Zebo Peng, Petru Eles, Paul M. Rosinger, Bashir M. Al-Hashimi Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Vivek Chaturvedi, Huang Huang, Gang Quan Leakage Aware Scheduling on Maximum Temperature Minimization for Periodic Hard Real-Time Systems. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage-aware, scheduling, real-time, operating systems, thermal-aware
21Gang Quan, Yan Zhang Leakage Aware Feasibility Analysis for Temperature-Constrained Hard Real-Time Periodic Tasks. Search on Bibsonomy ECRTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF real time scheduling, leakage, temperature, feasibility analysis, thermal aware
21Gang Quan, Yan Zhang, William Wiles, Pei Pei Guaranteed scheduling for repetitive hard real-time tasks under the maximal temperature constraint. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF maximal temperature, real-time scheduling, energy consumption, thermal aware
21David Atienza, Pablo García Del Valle, Giacomo Paci, Francesco Poletti, Luca Benini, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida HW-SW emulation framework for temperature-aware design in MPSoCs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Thermal-aware design, FPGA, emulation, MPSoC, temperature
18David Cuesta, José L. Risco-Martín, José L. Ayala, José Ignacio Hidalgo Thermal-Aware Floorplanner for 3D IC, including TSVs, Liquid Microchannels and Thermal Domains Optimization. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Anthony Dowling, Lin Jiang, Ming-Cheng Cheng, Yu Liu Regulating CPU Temperature With Thermal-Aware Scheduling Using a Reduced Order Learning Thermal Model. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Irfan Ali, Muhammad Naeem Shehzad, Qaisar Bashir, Haroon Elahi, Muhammad Naeem Awais, Oana Geman, Pin Liu A Thermal-Aware Scheduling Algorithm for Reducing Thermal Risks in DAG-Based Applications in Cyber-Physical Systems. Search on Bibsonomy UbiSec The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Jai-Ming Lin, Tai-Ting Chen, Hao-Yuan Hsieh, Ya-Ting Shyu, Yeong-Jar Chang, Juin-Ming Lu Thermal-Aware Fixed-Outline Floorplanning Using Analytical Models With Thermal-Force Modulation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Kun-Chih Chen, Yu-Hsien Chen, Yen-Po Lin Thermal sensor allocation and full-system temperature characterization for thermal-aware mesh-based NoC system by using compressive sensing technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Ting-Hao Tsai, Ya-Shu Chen Thermal-throttling server: A thermal-aware real-time task scheduling framework for three-dimensional multicore chips. Search on Bibsonomy J. Syst. Softw. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18David Cuesta, José L. Risco-Martín, José L. Ayala, José Ignacio Hidalgo Thermal-aware floorplanner for 3D IC, including TSVs, liquid microchannels and thermal domains optimization. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Shih-Ying Sean Liu, Ren-Guo Luo, Suradeth Aroonsantidecha, Ching-Yu Chin, Hung-Ming Chen Fast Thermal Aware Placement With Accurate Thermal Analysis Based on Green Function. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Zhigang Jiang, Wei Huang 0024, Ilsun You, Zhuzhong Qian, Sanglu Lu Thermal-Aware Task Placement with Dynamic Thermal Model in an Established Datacenter. Search on Bibsonomy IMIS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Arpita Dutta, Subhadip Kundu, Santanu Chattopadhyay Thermal Aware Don't Care Filling to Reduce Peak Temperature and Thermal Variance during Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Kun-Chih Chen, Shu-Yen Lin, An-Yeu Wu Design of thermal management unit with vertical throttling scheme for proactive thermal-aware 3D NoC systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Che-Chuan Kuo, Kun-Chih Chen, En-Jui Chang, An-Yeu Wu Proactive Thermal-Budget-Based Beltway Routing algorithm for thermal-aware 3D NoC systems. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Jin Cui, Douglas L. Maskell A Fast High-Level Event-Driven Thermal Estimator for Dynamic Thermal Aware Scheduling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Suradeth Aroonsantidecha, Shih-Ying Liu, Ching-Yu Chin, Hung-Ming Chen A fast thermal aware placement with accurate thermal analysis based on Green function. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Jin Cui, Douglas L. Maskell High level event driven thermal estimation for thermal aware task allocation and scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Yongxiang Liu, Yuchun Ma, Eren Kursun, Glenn Reinman, Jason Cong Fine grain 3D integration for microarchitecture design through cube packing exploration. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Egberto Caballero, Vinicius C. Ferreira 0001, Robson Araújo Lima, Célio Albuquerque 0001, Débora C. Muchaluat-Saade LATOR: Link-Quality Aware and Thermal Aware On-Demand Routing Protocol for WBAN. Search on Bibsonomy IWSSIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Liang-Ying Lu, Tsung-Yu Hsieh, Pei-En Weng, Lih-Yih Chiou Methodology for developing virtual platforms from power-aware to power- and thermal-aware at electronic system level. Search on Bibsonomy IET Cyper-Phys. Syst.: Theory & Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Kun-Chih Jimmy Chen Game-based Congestion-aware Adaptive Routing (GCAR) for Proactive Thermal-aware 3D Network-on-Chip Systems. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Nishit Ashok Kapadia, Sudeep Pasricha PRATHAM: A power delivery-aware and thermal-aware mapping framework for parallel embedded applications on 3D MPSoCs. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Ayan Banerjee 0001, Tridib Mukherjee, Georgios Varsamopoulos, Sandeep K. S. Gupta Cooling-aware and thermal-aware workload placement for green HPC data centers. Search on Bibsonomy Green Computing Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
11Ali Aghasi, Kamal Jamshidi, Ali Bohlooli, Bahman Javadi A decentralized adaptation of model-free Q-learning for thermal-aware energy-efficient virtual machine placement in cloud data centers. Search on Bibsonomy Comput. Networks The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Majid Nezarat, Hadi Shahriar Shahhoseini, Masoomeh Momeni Thermal-aware routing algorithm in partially connected 3D NoC with dynamic availability for elevators. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Wenbo Guan, Xiaoyan Tang, Hongliang Lu, Yuming Zhang, Yimen Zhang Thermal-Aware Fixed-Outline 3-D IC Floorplanning: An End-to-End Learning-Based Approach. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Wenbo Guan, Xiaoyan Tang, Hongliang Lu, Yuming Zhang, Yimen Zhang A Novel Thermal-Aware Floorplanning and TSV Assignment With Game Theory for Fixed-Outline 3-D ICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Bo Liu 0045, Rui Chen, Weiwei Lin 0001, Wentai Wu, Jianpeng Lin, Keqin Li 0001 Publisher Correction to: Thermal‑aware virtual machine placement based on multi‑objective optimization. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Bo Liu 0045, Rui Chen, Weiwei Lin 0001, Wentai Wu, Jianpeng Lin, Keqin Li 0001 Thermal-aware virtual machine placement based on multi-objective optimization. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Pouya Aryai, Ahmad Khademzadeh, Somayyeh Jafarali Jassbi, Mehdi Hosseinzadeh 0001 SIMOF: swarm intelligence multi-objective fuzzy thermal-aware routing protocol for WBANs. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 542 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license