The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase voltage-scaling (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-1997 (15) 1998-1999 (22) 2000 (18) 2001 (26) 2002 (54) 2003 (68) 2004 (91) 2005 (133) 2006 (110) 2007 (128) 2008 (99) 2009 (66) 2010 (40) 2011 (27) 2012 (37) 2013 (24) 2014 (27) 2015 (25) 2016 (25) 2017 (23) 2018 (21) 2019 (15) 2020 (15) 2021-2022 (21) 2023 (18) 2024 (1)
Publication types (Num. hits)
article(302) incollection(4) inproceedings(839) phdthesis(4)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1164 occurrences of 399 keywords

Results
Found 1149 publication records. Showing 1149 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
125Hendra Saputra, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Jie S. Hu, Chung-Hsing Hsu, Ulrich Kremer Energy-conscious compilation based on voltage scaling. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-aware compilation, optimizing compilers, voltage scaling, loop transformations
109Huizhan Yi, Xuejun Yang Optimizing the Configuration of Dynamic Voltage Scaling Points in Real-Time Applications. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
92Le Yan, Lin Zhong 0001, Niraj K. Jha User-perceived latency driven voltage scaling for interactive applications. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF adaptive body biasing, computer responsiveness, dynamic voltage scaling, power consumption
84Weixun Wang, Prabhat Mishra 0001 PreDVS: preemptive dynamic voltage scaling for real-time systems using approximation scheme. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF real-time systems, approximation algorithm, dynamic voltage scaling, energy-aware scheduling
82Bita Gorjiara, Nader Bagherzadeh, Pai H. Chou An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF stochastic gradient search, scheduling, optimization, power management, dynamic voltage scaling (DVS), heterogeneous systems, multi-processor systems
80Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner Theoretical and practical limits of dynamic voltage scaling. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF minimum energy point, dynamic voltage scaling
80Seung Woo Son 0001, Konrad Malkowski, Guilin Chen, Mahmut T. Kandemir, Padma Raghavan Reducing energy consumption of parallel sparse matrix applications through integrated link/CPU voltage scaling. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Parallel sparse matrix, Computation, Dynamic voltage scaling, Communication networks, Energy consumption
77Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner The limit of dynamic voltage scaling and insomniac dynamic voltage scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
76Tay-Jyi Lin, Pi-Chen Hsiao, Chi-Hung Lin, Shu-Chang Kuo, Chou-Kun Lin, Yu-Ting Kuo, Chih-Wei Liu, Yuan-Hua Chu Collaborative voltage scaling with online STA and variable-latency datapath. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF collaborative voltage scaling, online STA, variable-latency datapath, adaptive voltage scaling
72Saowanee Saewong, Ragunathan Rajkumar Practical Voltage-Scaling for Fixed-Priority RT-Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
70Ravi K. Venkatesan, Ahmed S. Al-Zawawi, Krishnan Sivasubramanian, Eric Rotenberg ZettaRAM: A Power-Scalable DRAM Alternative through Charge-Voltage Decoupling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memory technology, dynamic voltage scaling, DRAM, molecular electronics, molecular memory, low-power memory
68Jiong Luo, Niraj K. Jha, Li-Shiuan Peh Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
68Huizhan Yi, Xuejun Yang, Juan Chen 0001 The Optimal Profile-Guided Greedy Dynamic Voltage Scaling in Real-Time Applications. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
66Mongkol Ekpanyapong, Sung Kyu Lim Integrated retiming and simultaneous Vdd/Vth scaling for total power minimization. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF supply and threshold voltage scaling, low power design, retiming
63Ankur Gupta, Rajat Chauhan, Vinod Menezes, Vikas Narang, H. M. Roopashree A Robust Level-Shifter Design for Adaptive Voltage Scaling. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
63Taewhan Kim Application-Driven Low-Power Techniques Using Dynamic Voltage Scaling. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
63Eduardo Tavares, Paulo Romero Martins Maciel, Bruno Silva 0001, Meuse N. Oliveira Jr. A time petri net-based approach for hard real-time systems scheduling considering dynamic voltage scaling, overheads, precedence and exclusion relations. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF petri nets, dynamic voltage scaling, formal models, hard real-time systems
63Neal K. Bambha, Shuvra S. Bhattacharyya, Jürgen Teich, Eckart Zitzler Hybrid global/local search strategies for dynamic voltage scaling in embedded multiprocessors. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF simulated heating, dynamic voltage scaling
63Xiliang Zhong, Cheng-Zhong Xu 0001 Energy-Aware Modeling and Scheduling for Dynamic Voltage Scaling with Statistical Real-Time Guarantee. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Real-time systems, dynamic voltage scaling, dynamic power management, power-aware scheduling
62Jinson Koppanalil, Prakash Ramrakhyani, Sameer Desai, Anu Vaidyanathan, Eric Rotenberg A case for dynamic pipeline scaling. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable pipeline, fetch gating, power and energy management, shallow and deep pipelines, variable-depth pipeline, dynamic voltage scaling, clock gating
62Yann-Hang Lee, Yoonmee Doh, C. Mani Krishna 0001 EDF scheduling using two-mode voltage-clock-scaling for hard real-time systems. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF dynamic reclaiming, energy and power optimization, scheduling, real-time systems, voltage scaling
62Ankush Varma, Brinda Ganesh, Mainak Sen, Suchismita Roy Choudhury, Lakshmi Srinivasan, Bruce L. Jacob A control-theoretic approach to dynamic voltage scheduling. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nqPID, low-power, dynamic voltage scaling, PID
59Alexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
59Huizhan Yi, Xuejun Yang Toward the Optimal Configuration of Dynamic Voltage Scaling Points in Real-Time Applications. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF languages and compilers, low-power design, energy-aware systems
59Seung Woo Son 0001, Konrad Malkowski, Guilin Chen, Mahmut T. Kandemir, Padma Raghavan Integrated link/CPU voltage scaling for reducing energy consumption of parallel sparse matrix applications. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
59Alexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi Simultaneous communication and processor voltage scaling for dynamic and leakage energy reduction in time-constrained systems. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Yu-Wei Yang, Katherine Shu-Min Li Temperature-aware dynamic frequency and voltage scaling for reliability and yield enhancement. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
55Sylvain Miermont, Pascal Vivet, Marc Renaudin A Power Supply Selector for Energy- and Area-Efficient Local Dynamic Voltage Scaling. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
55Hai Li, Chen-Yong Cher, Kaushik Roy 0001, T. N. Vijaykumar Combined circuit and architectural level variable supply-voltage scaling for low power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55K. Shyam, R. Govindarajan Compiler-Directed Dynamic Voltage Scaling Using Program Phases. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
55Noureddine Chabini, Wayne H. Wolf Reducing dynamic power consumption in synchronous sequential digital designs using retiming and supply voltage scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Yifan Zhu, Frank Mueller 0001 Feedback EDF Scheduling of Real-Time Tasks Exploiting Dynamic Voltage Scaling. Search on Bibsonomy Real Time Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, dynamic voltage scaling, feedback control
55Sunghyun Lee, Kiyoung Choi, Sungjoo Yoo An intra-task dynamic voltage scaling method for SoC design with hierarchical FSM and synchronous dataflow model. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF variable supply voltage, low power, finite state machine, dynamic voltage scaling, formal model, synchronous dataflow
55Albert Mo Kim Cheng, Yan Wang A Dynamic Voltage Scaling Algorithm for Dynamic Workloads. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic workloads, scheduling, real-time systems, dynamic voltage scaling (DVS), power-aware computing
54Seokwoo Lee, Shidhartha Das, Toan Pham, Todd M. Austin, David T. Blaauw, Trevor N. Mudge Reducing pipeline energy demands with local DVS and dynamic retiming. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic retiming with global DVS, local DVS, razor
54Kiyoo Itoh 0001 Leakage- and variability-conscious circuit designs for the 0.5-v nanoscale CMOS era. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 0.5-v nanoscale cmos lsis, conventional mosfet, minimum vdd, speed variation, vt variation, leakage, sram, dram, finfet
53Sanjeev Baskiyar, Kiran Kumar Palli Low Power Scheduling of DAGs to Minimize Finish Times. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Scheduling, Power, Heterogeneous, DAG, Makespan, Voltage Scaling
53E. N. Elnozahy, Michael Kistler, Ramakrishnan Rajamony Energy-Efficient Server Clusters. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Clusters, Power Management, Web Servers, Voltage Scaling
53Nevine AbouGhazaleh, Daniel Mossé, Bruce R. Childers, Rami G. Melhem Collaborative operating system and compiler power management for real-time applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF collaborative OS and compiler, voltage scaling points placement, Real-time, power-management, dynamic voltage scaling
51Jiong Luo, Niraj K. Jha Low Power Distributed Embedded Systems: Dynamic Voltage Scaling and Synthesis. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Mohamed Elgebaly, Manoj Sachdev Variation-Aware Adaptive Voltage Scaling System. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
51Xiliang Zhong, Cheng-Zhong Xu 0001 Energy-Aware Modeling and Scheduling of Real-Time Tasks for Dynamic Voltage Scaling. Search on Bibsonomy RTSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Yifan Zhu, Frank Mueller 0001 Feedback EDF scheduling exploiting hardware-assisted asynchronous dynamic voltage scaling. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, dynamic voltage scaling, feedback control
51Fen Xie, Margaret Martonosi, Sharad Malik Intraprogram dynamic voltage scaling: Bounding opportunities with analytic modeling. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, compiler, Analytical model, dynamic voltage scaling, mixed-integer linear programming
51Jacob R. Lorch, Alan Jay Smith Using User Interface Event Information in Dynamic Voltage Scaling Algorithms. Search on Bibsonomy MASCOTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Workload characterization and generation, power management, dynamic voltage scaling, response time, modeling and simulation, energy management
51Houman Zarrabi, Asim J. Al-Khalili, Yvon Savaria An interconnect-aware delay model for dynamic voltage scaling in NM technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic voltage scaling (dvs), interconnects, delay model
51Jianfeng Mao, Christos G. Cassandras, Qianchuan Zhao Optimal Dynamic Voltage Scaling in Energy-Limited Nonpreemptive Systems with Real-Time Constraints. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nonpreemptive, sensor networks, optimal control, voltage scaling, Hard real-time system
51Yu-Hang Tsai, Kuochen Wang, Jheng-Ming Chen A deferred-workload-based inter-task dynamic voltage scaling algorithm for portable multimedia devices. Search on Bibsonomy IWCMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF actual workload, deferred-workload-based, inter-task dynamic voltage scaling, worst-case execution time, hard real-time system, slack time
51Mohamed Elgebaly, Manoj Sachdev Efficient adaptive voltage scaling system through on-chip critical path emulation. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low-power, CMOS, adaptive voltage scaling
49Eric Karl, Dennis Sylvester, David T. Blaauw Timing error correction techniques for voltage-scalable on-chip memories. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Noohul Basheer Zain Ali, Mark Zwolinski, Bashir M. Al-Hashimi, Peter Harrod Dynamic Voltage Scaling Aware Delay Fault Testing. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Youngjin Cho, Younghyun Kim 0001, Naehyuck Chang PVS: passive voltage scaling for wireless sensor networks. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded system, low-power, wireless, DVS, PVS, sensor node
47Ramkumar Jayaseelan, Tulika Mitra Temperature aware task sequencing and voltage scaling. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47N. Ranganathan, Ashok K. Murugavel A Microeconomic Model for Simultaneous Gate Sizing and Voltage Scaling for Power Optimization. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Yifan Zhu, Frank Mueller 0001 DVSleak: combining leakage reduction and voltage scaling in feedback EDF scheduling. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, dynamic voltage scaling, leakage, feedback control
47Haklin Kimm, Sung Y. Shin, Chang Oan Sung Evaluation of interval-based dynamic voltage scaling algorithms on mobile Linux system. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic voltage scaling algorithm, mobile Linux system, scheduling
47Woonseok Kim, Jihong Kim 0001, Sang Lyul Min Preemption-aware dynamic voltage scaling in hard real-time systems. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time systems, dynamic voltage scaling, low-power systems
47Fen Xie, Margaret Martonosi, Sharad Malik Compile-time dynamic voltage scaling settings: opportunities and limits. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, compiler, analytical model, dynamic voltage scaling, mixed-integer linear programming
47Woonseok Kim, Jihong Kim 0001, Sang Lyul Min Dynamic voltage scaling algorithm for fixed-priority real-time systems using work-demand analysis. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF real-time systems, dynamic voltage scaling, low-power systems
47Noureddine Chabini, Ismaïl Chabini, El Mostapha Aboulhamid, Yvon Savaria Unification of basic retiming and supply voltage scaling to minimize dynamic power consumption for synchronous digital designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF supply voltage scaling, performance, power consumption, CMOS, retiming, digital design
47Kimiyoshi Usami, Mutsunori Igarashi, Takashi Ishikawa, Masahiro Kanazawa, Masafumi Takahashi, Mototsugu Hamada, Hideho Arakida, Toshihiro Terazawa, Tadahiro Kuroda Design Methodology of Ultra Low-Power MPEG4 Codec Core Exploiting Voltage Scaling Techniques. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, synthesis, placement, flip-flops, voltage scaling, codec, MPEG4, level converters, design automatian
45Brian Foo, Mihaela van der Schaar A Queuing Theoretic Approach to Processor Power Adaptation for Video Decoding Systems. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
45Diana Marculescu Application adaptive energy efficient clustered architectures. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic voltage scaling, clustered architectures
45Lee Kee Goh, Bharadwaj Veeravalli, Sivakumar Viswanathan An Energy-Aware Gradient-Based Scheduling Heuristic for Heterogeneous Multiprocessor Embedded Systems. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, power management, dynamic voltage scaling, Energy-aware scheduling, heterogeneous multiprocessor
45Rony Ghattas, Alexander G. Dean Energy management for commodity short-bit-width microcontrollers. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic frequency scaling, short-bit-width microcontroller, embedded systems, dynamic voltage scaling, energy modeling
45Anoop Iyer, Diana Marculescu Power efficiency of voltage scaling in multiple clock, multiple voltage cores. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Lin Yuan, Gang Qu 0001 Analysis of energy reduction on dynamic voltage scaling-enabled systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Jungseob Lee, Nam Sung Kim Optimizing total power of many-core processors considering voltage scaling limit and process variations. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage and frequency scaling, process variations, parallel applications, many-core processor
43Animesh Kumar, Jan M. Rabaey, Kannan Ramchandran SRAM supply voltage scaling: A reliability perspective. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Changjiu Xian, Yung-Hsiang Lu, Zhiyuan Li 0001 Dynamic Voltage Scaling for Multitasking Real-Time Systems With Uncertain Execution Time. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Emrah Akyol, Mihaela van der Schaar Complexity Model Based Proactive Dynamic Voltage Scaling for Video Decoding Systems. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Emrah Akyol, Mihaela van der Schaar Buffer Constrained Proactive Dynamic Voltage Scaling for Video Decoding Systems. Search on Bibsonomy ICIP (6) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Grigorios Magklis, Pedro Chaparro, José González 0002, Antonio González 0001 Independent front-end and back-end dynamic voltage scaling for a GALS microarchitecture. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MCD, energy efficiency, DVS, microarchitecture, GALS
43Johan A. Pouwelse, Koen Langendoen, Henk J. Sips Application-directed voltage scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Hai Li, Chen-Yong Cher, T. N. Vijaykumar, Kaushik Roy 0001 VSV: L2-Miss-Driven Variable Supply-Voltage Scaling for Low Power. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Chingwei Yeh, Yin-Shuin Kang Cell-based layout techniques supporting gate-level voltage scaling for low power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
43Chingwei Yeh, Yin-Shuin Kang Cell-based layout techniques supporting gate-level voltage scaling for low power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
43Chuan-Yue Yang, Jian-Jia Chen, Tei-Wei Kuo Energy-efficiency for multiframe real-time tasks on a dynamic voltage scaling processor. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multiframe real-time tasks, dynamic voltage scaling, energy-aware systems, energy-efficient scheduling
43Ahron Yang, Minseok Song 0001 Aggressive dynamic voltage scaling for energy-aware video playback based on decoding time estimation. Search on Bibsonomy EMSOFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF media players, dynamic voltage scaling, real-time embedded systems, low-power systems
43Vinay Devadas, Hakan Aydin On the interplay of dynamic voltage scaling and dynamic power management in real-time embedded applications. Search on Bibsonomy EMSOFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time systems, dynamic voltage scaling, energy management, dynamic power management
43Zhen Cao, Brian Foo, Lei He 0001, Mihaela van der Schaar Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multimedia, power management, dynamic voltage scaling
43Ruibin Xu, Daniel Mossé, Rami G. Melhem Minimizing expected energy consumption in real-time systems through dynamic voltage scaling. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF processor acceleration to conserve energy, Real-time, power management, dynamic voltage scaling
43Jian-Jia Chen, Tei-Wei Kuo Procrastination determination for periodic real-time tasks in leakage-aware dynamic voltage scaling systems. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF job procrastination, leakage-aware scheduling, scheduling, dynamic voltage scaling, energy-aware systems
43Jian-Jia Chen, Tei-Wei Kuo Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage-aware scheduling, scheduling, dynamic voltage scaling, fixed-priority scheduling, energy-aware systems, rate-monotonic scheduling
43Changjiu Xian, Yung-Hsiang Lu Dynamic voltage scaling for multitasking real-time systems with uncertain execution time. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF probability, dynamic voltage scaling, multitasking, low energy, hard real-time
43Hyung Sun Lee, Byung Kook Kim Dynamic Voltage Scaling for Digital Control System Implementation. Search on Bibsonomy Real Time Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic voltage scaling, Real-time control, control performance, digital control system
43Ivan Matosevic, Tarek S. Abdelrahman, Faraydon Karim, Alain Mellan Power Optimization for the MLCA Using Dynamic Voltage Scaling. Search on Bibsonomy SCOPES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compiler techniques for power, parallel-embedded systems, dynamic voltage scaling, multimedia applications, power optimizations
43Sookyoung Kim, Thomas L. Martin DIP: a double-interval-based dynamic voltage scaling scheme for dynamic priority-based task scheduling systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic priority-based task scheduling systems, dynamic voltage scaling, low energy
43Eui-Young Chung, Giovanni De Micheli, Luca Benini Contents provider-assisted dynamic voltage scaling for low energy multimedia applications. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DVS(Dynamic Voltage Scaling), contents provider, multimedia, low-power, worst case execution time, characterization
41Jiong Luo, Niraj K. Jha Power-Efficient Scheduling for Heterogeneous Distributed Real-Time Embedded Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Noureddine Chabini, Wayne H. Wolf An approach for reducing dynamic power consumption in synchronous sequential digital designs. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Amin Ansari, Shuguang Feng, Shantanu Gupta, Scott A. Mahlke Enabling ultra low voltage system operation by tolerating on-chip cache failures. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault-tolerant cache, low voltage operation, dynamic voltage scaling
41Hong Jin, Danli Wang, Hongan Wang, Henry (Hui) Wang Feedback fuzzy-DVS scheduling of control tasks. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Control task, Scheduling, Dynamic voltage scaling, Feedback control, Fuzzy rule
41Yongseok Choi, Naehyuck Chang, Taewhan Kim DC-DC converter-aware power management for battery-operated embedded systems. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, voltage scaling, DC-DC converter
41Jian-Liang Kuo, Tien-Fu Chen Dynamic voltage leveling scheduling for real-time embedded systems on low-power variable speed processors. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF real-time systems, low power, dynamic voltage scaling
41Heiner Giefers, Achim Rettberg Energy aware multiple clock domain scheduling for a bit-serial, self-timed architecture. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-level synthesis, low power design, voltage scaling, bit-serial architecture
41A. Goel, C. Mani Krishna 0001, Israel Koren Energy aware kernel for hard real-time systems. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded system, dynamic voltage scaling, earliest deadline first, real-time operating system, eCos
41C. Mani Krishna 0001, Yann-Hang Lee Voltage-Clock-Scaling Adaptive Scheduling Techniques for Low Power in Hard Real-Time Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF real-time systems, dynamic voltage scaling, Power-aware computing
41Ulya R. Karpuzcu, Brian Greskamp, Josep Torrellas The BubbleWrap many-core: popping cores for sequential acceleration. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power wall, process scaling, processor aging, voltage scaling
41Ajay Dudani, Frank Mueller 0001, Yifan Zhu Energy-conserving feedback EDF scheduling for embedded systems with real-time constraints. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, dynamic voltage scaling
Displaying result #1 - #100 of 1149 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license