The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPL"( http://dblp.L3S.de/Venues/FPL )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1992 (23) 1993-1994 (65) 1995 (47) 1996 (51) 1997 (52) 1998 (69) 1999 (66) 2000 (102) 2001 (75) 2002 (136) 2003 (147) 2004 (178) 2005 (149) 2006 (183) 2007 (162) 2008 (154) 2009 (142) 2010 (112) 2011 (101) 2012 (142) 2013 (139) 2014 (131) 2015 (99) 2016 (101) 2017 (111) 2018 (86) 2019 (72) 2020 (65) 2021 (83) 2022 (78) 2023 (65)
Publication types (Num. hits)
inproceedings(3155) proceedings(31)
Venues (Conferences, Journals, ...)
FPL(3186)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 210 occurrences of 148 keywords

Results
Found 3186 publication records. Showing 3186 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Mohammadmahdi Mazraeli, Yu Gao, Paul Chow Partitioning Large-Scale, Multi-FPGA Applications for the Data Center. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lukas Stasytis, Zsolt István Optimization Techniques for Hestenes-Jacobi SVD on FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Haishuang Fan, Jingya Wu, Wenyan Lu, Xiaowei Li 0001, Guihai Yan Co-ViSu: a Video Super-Resolution Accelerator Exploiting Codec Information Reuse. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shashwat Khandelwal, Shanker Shreejith Exploring Highly Quantised Neural Networks for Intrusion Detection in Automotive CAN. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pengyu Liu, Zihan Zhang, Chen Yin, Liyan Chen, Jianfei Jiang 0001, Qin Wang 0009, Zhigang Mao, Naifeng Jing Pipeline Balancing for Integrated Mapping in High Performance Spatial Programmable Architecture. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1José Oliver 0002, Carlos Álvarez 0001, Teresa Cervero, Xavier Martorell, John D. Davis, Eduard Ayguadé Accelerating SpMV on FPGAs Through Block-Row Compress: A Task-Based Approach. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ce Guo, Diego Cupello, Wayne Luk, Joshua M. Levine, Alexander Warren, Peter Brookes FPGA-Accelerated Causal Discovery with Conditional Independence Test Prioritization. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Felix Jentzsch Hardware-Aware AutoML for Exploration of Custom FPGA Accelerators for RadioML. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xuqi Zhu, Cong Gao, Sangeet Saha, Xiaojun Zhai, Klaus D. McDonald-Maier Bayesian Optimization for Efficient Heterogeneous MPSoC Based DNN Accelerator Runtime Tuning. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruichen Chen, Shengyao Lu, Mohamed A. Elgammal, Peter Chun, Vaughn Betz, Di Niu VPR-Gym: A Platform for Exploring AI Techniques in FPGA Placement Optimization. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Baoze Zhao, Wenjin Huang, Yihua Huang 0005 A Novel Hardware Accelerator of NeRF Based on Xilinx UltraScale and UltraScale+ FPGA. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ehsan Kabir, Daniel Coble, Joud N. Satme, Austin R. J. Downey, Jason D. Bakos, David Andrews 0001, Miaoqing Huang Accelerating LSTM-Based High-Rate Dynamic System Models. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuntao Han, Qiang Liu HPTA: A High Performance Transformer Accelerator Based on FPGA. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Myrtle Shah, Jakob Ternes, Dirk Koch FABulous Demo: Open Source FPGA on Sky130. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Huimin Li 0004, Phillip Rieger, Shaza Zeitouni, Stjepan Picek, Ahmad-Reza Sadeghi FLAIRS: FPGA-Accelerated Inference-Resistant & Secure Federated Learning. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Louis Ledoux, Marc Casas An Open-Source Framework for Efficient Numerically-Tailored Computations. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Michael Offel, Andreas Ley, Sven Hager HashCache: High-Performance State Tracking for Resilient FPGA-Based Packet Processing. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Changjun Song, Yongming Tang, Jiyuan Liu 0006, Sige Bian, Danni Deng, He Li 0008 MSDF-SGD: Most-Significant Digit-First Stochastic Gradient Descent for Arbitrary-Precision Training. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Stefan Abi-Karam, Cong Hao GNNBuilder: An Automated Framework for Generic Graph Neural Network Accelerator Generation, Simulation, and Optimization. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhenya Zang, Uwe Dolinsky, Pietro Ghiglio, Stefano Cherubin, Mehdi Goli 0001, Shufan Yang Building a Reusable and Extensible Automatic Compiler Infrastructure for Reconfigurable Devices. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Luyang Yu, Yizhen Lu, Meghna Mandava, Edward Richter, Vikram Sharma Mailthody, Seungwon Min, Wen-Mei W. Hwu, Deming Chen FSSD: FPGA-Based Emulator for SSDs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Joshua Lant, Emmanouil Skordalakis, Kyriakos Paraskevas, William B. Toms, Mikel Luján, John Goodacre DiAD - Distributed Acceleration for Datacenter FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yueyin Bai, Hao Zhou 0008, Keqing Zhao, Manting Zhang, Jianli Chen, Jun Yu 0010, Kun Wang 0005 LTrans-OPU: A Low-Latency FPGA-Based Overlay Processor for Transformer Networks. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vaibhav Kashera, Siddhant Jain, Abhishek Banerjee, Suresh Purini Building Low-Latency Order Books with Hybrid Binary-Linear Search Data Structures on FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiaorang Guo, Martin Schulz 0001 A Scalable and Cross-Technology Quantum Control Processor. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Guanglei Zhou, Mirjana Stojilovic, Jason Helge Anderson GRAMM: Fast CGRA Application Mapping Based on A Heuristic for Finding Graph Minors. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Paul Chen, Pavan Manjunath, Sasindu Wijeratne, Bingyi Zhang, Viktor K. Prasanna Exploiting On-Chip Heterogeneity of Versal Architecture for GNN Inference Acceleration. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1M. D. Arafat Kabir, Ehsan Kabir, Joshua Hollis, Eli Levy-Mackay, Atiyehsadat Panahi, Jason D. Bakos, Miaoqing Huang, David Andrews 0001 FPGA Processor In Memory Architectures (PIMs): Overlay or Overhaul ? Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kimia Talaei Khoozani, Arash Ahmadian Dehkordi, Vaughn Betz Titan 2.0: Enabling Open-Source CAD Evaluation with a Modern Architecture Capture. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tobias Hahn, Stefan Wildermann, Jürgen Teich SPEAR-JSON: Selective Parsing of JSON to Enable Accelerated Stream Processing on FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ziyi Yang, Suhaib A. Fahmy Exploring FPGA Acceleration for Distributed Serverless Computing. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yang Liu, Xiaoming He, Jun Yu, Kun Wang DIF-LUT: A Simple Yet Scalable Approximation for Non-Linear Activation Function on FPGA. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zizhang Luo, Liqiang Lu, Yicheng Jin, Liancheng Jia, Yun Liang 0001 Calabash: Accelerating Attention Using a Systolic Array Chain on FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nicolai Müller, Sergej Meschkov, Dennis R. E. Gnad, Mehdi B. Tahoori, Amir Moradi 0001 Automated Masking of FPGA-Mapped Designs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hanning Chen, Ali Zakeri, Fei Wen, Hamza Errahmouni Barkam, Mohsen Imani HyperGRAF: Hyperdimensional Graph-Based Reasoning Acceleration on FPGA. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Gabriel Rodriguez-Canal, Nick Brown 0002, Tim Dykes, Jessica R. Jones, Utz-Uwe Haus Fortran High-Level Synthesis: Reducing the Barriers to Accelerating HPC Codes on FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Robert Szafarczyk, Syed Waqar Nabi, Wim Vanderbauwhede Compiler Discovered Dynamic Scheduling of Irregular Code in High-Level Synthesis. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yang Yang 0111, Weihang Long, Rajgopal Kannan, Viktor K. Prasanna FPGA Acceleration of Rotation in Homomorphic Encryption Using Dynamic Data Layout. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhiqiang Que, Shuo Liu, Markus Rognlien, Ce Guo, José Gabriel F. Coutinho, Wayne Luk MetaML: Automating Customizable Cross-Stage Design-Flow for Deep Learning Acceleration. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruiqi Chen, Haoyang Zhang, Shun Li, Enhao Tang, Jun Yu 0010, Kun Wang 0005 Graph-OPU: A Highly Integrated FPGA-Based Overlay Processor for Graph Neural Networks. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Andrew Boutros, Stephen More, Vaughn Betz A Whole New World: How to Architect Beyond-FPGA Reconfigurable Acceleration Devices? Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yunyi Zhao, Yunjia Xia, Rui C. V. Loureiro, Hubin Zhao, Uwe Dolinsky, Shufan Yang FPL Demo: A Learning-Based Motion Artefact Detector for Heterogeneous Platforms. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhewen Yu, Christos-Savvas Bouganis Mixed-TD: Efficient Neural Network Accelerator with Layer-Specific Tensor Decomposition. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rémi Garcia 0002, Anastasia Volkova Multiple Constant Multiplication: From Target Constants to Optimized Pipelined Adder Graphs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shi-Yu Huang, Yun-Chen Yang, Yu-Ru Su, Bo-Cheng Lai, Javier M. Duarte, Scott Hauck, Shih-Chieh Hsu, Jin-Xuan Hu, Mark S. Neubauer Low Latency Edge Classification GNN for Particle Trajectory Tracking on FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nele Mentens, Leonel Sousa, Pedro Trancoso, Nikela Papadopoulou, Ioannis Sourdis (eds.) 33rd International Conference on Field-Programmable Logic and Applications, FPL 2023, Gothenburg, Sweden, September 4-8, 2023 Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rubén Macias, Sergio Bernabé, Carlos González Accelerating the ATDCA Algorithm for Endmember Extraction from Hyperspectral Imagery with Intel oneAPI for FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Philipp Kreowsky, Justin Knapheide, Benno Stabernack Challenges Using FPGA Clusters for Distributed CNN Training. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Petros Toupas, Christos-Savvas Bouganis, Dimitrios Tzovaras fpgaHART: A Toolflow for Throughput-Oriented Acceleration of 3D CNNs for HAR onto FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Martin Langhammer, George A. Constantinides eGPU: A 750 MHz Class Soft GPGPU for FPGA. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jonas Krautter, Paul R. Genssler, Gloria Sepanta, Hussam Amrouch, Mehdi B. Tahoori Stress-Resiliency of AI Implementations on FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shiqing Li, Shien Zhu, Xiangzhong Luo, Tao Luo, Weichen Liu An Efficient Sparse LSTM Accelerator on Embedded FPGAs with Bandwidth-Oriented Pruning. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hans Jakob Damsgaard, Aleksandr Ometov, Jari Nurmi Towards Coarse-Grained Reconfigurable Approximate Computing with CGRAgen. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tan Nguyen, Zachary Blair, Stephen Neuendorffer, John Wawrzynek SPADES: A Productive Design Flow for Versal Programmable Logic. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Amin Mohaghegh, Vaughn Betz Tear Down The Wall: Unified and Efficient Intra-and Inter-Cluster Routing for FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tiandong Zhao, Siyuan Miao, Shaoqiang Lu, Jialin Cao, Jun Qiu, Xiao Shi, Kun Wang 0005, Lei He 0001 Token Packing for Transformers with Variable-Length Inputs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shaden M. Alismail, Dirk Koch Efficient Resource Scheduling for Runtime Reconfigurable Systems on FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Justin Knapheide, Philipp Kreowsky, Benno Stabernack Demonstrating NADA: A Workflow for Distributed CNN Training on FPGA Clusters. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Christoph Niemann 0002, Michael Rethfeldt, Dirk Timmermann A Novel Strategy for Flexible Placement and Routing of AVS Sensors on FPGAs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Veronia Iskandar, Mohamed A. Abd El Ghany, Diana Goehringer Performance Estimation and Prototyping of Reconfigurable Near-Memory Computing Systems. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vincent Meyers, Michael Hefenbrock, Dennis Gnad, Mehdi Baradaran Tahoori Remote Identification of Neural Network FPGA Accelerators by Power Fingerprints. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ioannis Sourdis, Nele Mentens, Leonel Sousa, Pedro Trancoso Preface. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Alexander Montgomerie-Corcoran, Zhewen Yu, Jianyi Cheng, Christos-Savvas Bouganis PASS: Exploiting Post-Activation Sparsity in Streaming Architectures for CNN Acceleration. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hayden Cook, Zephram Tripp, Brad L. Hutchings, Jeffrey Goeders Improving the Reliability of FPGA CRO PUFs. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruiqi Chen, Haoyang Zhang, Jun Yu 0010, Kun Wang 0005 FPGA Accelerating Multi-Source Transfer Learning with GAT for Bioactivities of Ligands Targeting Orphan G Protein-Coupled Receptors. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chen Wu, Zhuofu Tao, Kun Wang 0005, Lei He 0001 SkeletonGCN: A Simple Yet Effective Accelerator For GCN Training. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Anqi Guo, Tong Geng, Yongan Zhang, Pouya Haghi, Chunshu Wu, Cheng Tan 0002, Yingyan Lin, Ang Li 0006, Martin C. Herbordt A Framework for Neural Network Inference on FPGA-Centric SmartNICs. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yuanlong Xiao, Aditya Hota, Dongjoon Park, André DeHon HiPR: High-level Partial Reconfiguration for Fast Incremental FPGA Compilation. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andrew Boutros, Eriko Nurvitadhi, Vaughn Betz RAD-Sim: Rapid Architecture Exploration for Novel Reconfigurable Acceleration Devices. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sahand Kashani, Mahyar Emami, James R. Larus Bitfiltrator: A general approach for reverse-engineering Xilinx bitstream formats. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dennis R. E. Gnad, Jiaqi Hu, Mehdi B. Tahoori Breaking an FPGA-Integrated NIST SP 800-193 Compliant TRNG Hard-IP Core with On-Chip Voltage-Based Fault Attacks. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xijie Jia, Yu Zhang, Guangdong Liu, Xinlin Yang, Tianyu Zhang, Jia Zheng, Dongdong Xu, Hong Wang, Rongzhang Zheng, Satyaprakash Pareek, Lu Tian, Dongliang Xie, Hong Luo, Yi Shan XVDPU: A High Performance CNN Accelerator on the Versal Platform Powered by the AI Engine. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Marie Auffret, Erwei Wang, James J. Davis 0001 FPL Demo: Logic Shrinkage: A Neural Architecture Search-Based Approach to FPGA Netlist Generation. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chan-Wei Hu, Jiang Hu, Sunil P. Khatri TD3lite: FPGA Acceleration of Reinforcement Learning with Structural and Representation Optimizations. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yingxue Gao, Lei Gong, Chao Wang 0003, Teng Wang, Xuehai Zhou SDMA: An Efficient and Flexible Sparse-Dense Matrix-Multiplication Architecture for GNNs. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ruizhe Zhao, Jianyi Cheng, Wayne Luk, George A. Constantinides POLSCA: Polyhedral High-Level Synthesis with Compiler Transformations. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhengang Li, Mengshu Sun, Alec Lu, Haoyu Ma, Geng Yuan, Yanyue Xie, Hao Tang 0005, Yanyu Li, Miriam Leeser, Zhangyang Wang, Xue Lin, Zhenman Fang Auto-ViT-Acc: An FPGA-Aware Automatic Acceleration Framework for Vision Transformer with Mixed-Scheme Quantization. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zelin Wang, Ke Zhang 0017, Yisong Chang, Yanlong Yin, Yuxiao Chen 0009, Ran Zhao, Songyue Wang, Mingyu Chen 0001, Yungang Bao FPL Demo: SERVE: Agile Hardware Development Platform with Cloud IDE and Cloud FPGAs. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhiqiang Que, Marcus Loo, Hongxiang Fan, Maurizio Pierini, Alexander D. Tapper, Wayne Luk Optimizing Graph Neural Networks for Jet Tagging in Particle Physics on FPGAs. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nicolai Fiege, Patrick Sittel, Peter Zipf Speeding Up Optimal Modulo Scheduling with Rational Initiation Intervals. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jens Trautmann 0001, Nikolaos Patsiatzis, Andreas Becher, Jürgen Teich, Stefan Wildermann Real-Time Waveform Matching with a Digitizer at 10 GS/s. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andreas Böttcher, Martin Kumm, Florent de Dinechin Resource Optimal Squarers for FPGAs. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yashael Faith Arthanto, David Ojika, Joo-Young Kim 0001 FSHMEM: Supporting Partitioned Global Address Space on FPGAs for Large-Scale Hardware Acceleration Infrastructure. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fan Liu, Sunrui Zhang, Xiaole Cui The Design Method of Logic Circuits based on the Voltage-Input Enhanced Scouting Logic Gates. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bardia Babaei, Dirk Koch Tunable Fine-grained Clock Phase-shifting for FPGAs. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alexander Montgomerie-Corcoran, Zhewen Yu, Christos-Savvas Bouganis SAMO: Optimised Mapping of Convolutional Neural Networks to Streaming Architectures. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Cornelia Wulf, Najdet Charaf, Diana Göhringer Virtualization of Reconfigurable Mixed-Criticality Systems. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Martha Barker, Stephen A. Edwards, Martha A. Kim Synthesized In-BramGarbage Collection for Accelerators with Immutable Memory. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nicolai Fiege, Patrick Sittel, Peter Zipf Optimal Binding and Port Assignment for Loop Pipelining in High-Level Synthesis. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Argyris Kokkinis, Dionysios Diamantopoulos, Kostas Siozios Dynamic Heap Management in High-Level Synthesis for Many-Accelerator Architectures. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Myrtle Shah FPL Demo: Hot Reconfiguration - Partial Reconfiguration without Bounds. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Cecilia Latotzke, Tim Ciesielski, Tobias Gemmeke Design of High-Throughput Mixed-Precision CNN Accelerators on FPGA. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Joseph Powell, Kaspar Matas, Kristiyan Manev, Dirk Koch FPL Demo: FPGA Bitstream Virus Scanning. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ziying Ni, Ayesha Khalid, Máire O'Neill High Performance FPGA-based Post Quantum Cryptography Implementations. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ahmed Kamaleldin, Diana Göhringer A Hybrid Memory/Accelerator Tile Architecture for FPGA-based RISC-V Manycore Systems. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jan Sommer, M. Akif Özkan, Oliver Keszöcze, Jürgen Teich DSP-Packing: Squeezing Low-precision Arithmetic into FPGA DSP Blocks. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ariel Podlubne, Johannes Mey, Sergio A. Pertuz 0001, Uwe Aßmann, Diana Göhringer Model-based Generation of Hardware/Software Architectures for Robotics Systems. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Peng Zhang, Shijun Zhang, Shang Li, Jin Zhang, Shaoxun Liu, Youjun Bu FRA-FPGA: Fast Reconfigurable Automata Processing on FPGAs. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Seongyoung Kang, Tarun Sai Ganesh Nerella, Shashank Uppoor, Sang-Woo Jun BunchBloomer: Cost-Effective Bloom Filter Accelerator for Genomics Applications. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yee Yang Tan, Felix Staudigl, Lukas Jünger 0001, Anna Drewes, Rainer Leupers, Jan Moritz Joseph EmuNoC: Hybrid Emulation for Fast and Flexible Network-on-Chip Prototyping on FPGAs. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 3186 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license