The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "NorCAS"( http://dblp.L3S.de/Venues/NorCAS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/norcas

Publication years (Num. hits)
2015 (65) 2016 (53) 2017 (50) 2018 (54) 2019 (55) 2020 (30) 2021 (33) 2022 (26) 2023 (50)
Publication types (Num. hits)
inproceedings(407) proceedings(9)
Venues (Conferences, Journals, ...)
NORCAS(416)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 416 publication records. Showing 416 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Alvaro Cintas Canto, Mehran Mozaffari Kermani, Reza Azarderakhsh Reliable Code-Based Post-Quantum Cryptographic Algorithms through Fault Detection on FPGA. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kaisa Ryynänen, Veeti Lahtinen, Santeri Porrasmaa, Kari Stadius, Marko Kosunen, Jussi Ryynänen An Automated EM-Simulation Environment with Parameterized Layout Generation for Microwave Integrated Circuits. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jere Rusanen, Negar Shabanzadeh, Aarno Pärssinen, Timo Rahkonen, Janne P. Aikio Compensating Quadrature Hybrid Mismatch Effects in Integrated Balanced Power Amplifier. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Behnam Abdollahi, Horst Zimmermann A Low-Power Current-Reuse Self-Biased Regulated-Cascode TIA in 130nm SiGe BiCMOS for Low-Noise and High Data Rate Applications. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Johannes Pfau, Jiro Hernandez, Maximilian Reuter, Klaus Hofmann, Jürgen Becker 0001 Co-Simulating Region-Based Dynamic Voltage Scaling for FPGA Architecture Design. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rikard Gannedahl, Henrik Sjöland A mm-Wave Differential-to-Quadrature Frequency Tripler with Automatic Locking and Quadrature Correction. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mikael Henriksson, Oscar Gustafsson Streaming Matrix Transposition on FPGAs Using Distributed Memories. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yasser Rezaeiyan, Nikolaj Lykkeberg Madsen, Tim Böhnert, Milad Zamani, Sonal Shreya, Elvira Paz, Hooman Farkhani, Ricardo Ferreira 0003, Farshad Moradi A low-noise high-linear wide dynamic-range MTJ-based magnetic field sensor. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kari Siivonen, Joose Sainio, Alexandre Mercat, Jarno Vanne Tailored AVX2 Transform Kernels for Versatile Video Coding. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hamid Karrari, Pietro Andreani, Siyu Tan A 1.4 GS/s TI Pipelined-SAR analog-to-digital converter in 22-nm FDSOI CMOS. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pawel Dzialo, Erik Vd. Boom, Per Lindgren SyncRim - A modern Simulator for Synchronous Circuits implemented in Rust. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Peter Koch 0001, Yannick Le Moullec A First Experimental Study of Fixed-Point Approximate Arithmetic in Recursive Lattice Filters. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Qirui Hua, Ming Shen 0001 Deep Learning-Enhanced Parameter Extraction for Equivalent Circuit Modeling in Electrochemical Impedance Spectroscopy. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruixing Yang, Yingge Chen, François Ladouceur, Nigel H. Lovell, Amr Al Abed, Torsten Lehmann Active Noise Cancelling Method for An Electro-Optical Detection System. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kimi Jokiniemi, Kaisa Ryynänen, Joni Vähä, Elmo Kankkunen, Kari Stadius, Jussi Ryynänen Active Wideband 55-100-GHz Downconversion Mixer in 22-nm FDSOI CMOS. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Eros Camacho-Ruiz, Santiago Sánchez-Solano, Macarena C. Martínez-Rodríguez, Piedad Brox A complete SHA-3 hardware library based on a high efficiency Keccak design. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sahibia Kaur Vohra, Alex P. James, Mahendra Sakare, Devarshi Mrinal Das Analysing Mismatch effect of CMOS Neurons in Spiking Neural Network with Winner-take-all Mechanism. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wei Cao, Alireza Saberkari, Atila Alvandpour Ultra Low Power ASK Demodulator/Manchester Decoder for Biomedical Applications. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kevin Kauth, Tim Stadtmann, Vida Sobhani, Tobias Gemmeke neuroAIx: FPGA Cluster for Reproducible and Accelerated Neuroscience Simulations of SNNs. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Antoine Gautier 0003, Benoît Larras, Olev Märtens, Deepu John, Antoine Frappé Evaluation of Power-of-two Quantization for Multiplier-less Near-Memory and In-Memory Computing Schemes for Biomedical Applications. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Marek Jahnke, Lucas Bublitz, Ulf Kulau Performance Evaluation of PicoRV32 RISC-V Softcore for Resource-Constrained Devices. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Patrick Fath, Harald Pretl A 370-nW Quad-Channel Multi-Mode Bio-Signal Acquisition AFE with 2.9-µVrms Input Noise. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Roni Hämäläinen, Henri Lunnikivi, Timo Hämäläinen 0001 Memory Mapped I/O Register Test Case Generator for Large Systems-on-Chip. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohammad Javad Karimi, Junyan Qian, Catherine Dehollain, Alexandre Schmid Design of a Dual-Band Wireless Power and Data Transfer Coil for Multisite Biomedical Implants. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohd. Tasleem Khan, Oscar Gustafsson Analyzing Step-Size Approximation for Fixed-Point Implementation of LMS and BLMS Algorithms. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Manish Pundir, Bipul Kumar Singh, Ninad Bandu Kamble, Ambika Prasad Shah High-Performance Floating Resistor-based Ring Amplifier for Switched Capacitor Circuits. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1David Fosca Gamarra, Per Gunnar Kjeldsberg, Henrik Sundbeck Lens Flare Attenuation Accelerator Design with Deep Learning and High-Level Synthesis. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Casper Cromjongh, Yongding Tian, H. Peter Hofstee, Zaid Al-Ars Tydi-Chisel: Collaborative and Interface-Driven Data-Streaming Accelerators. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Julian Haase, Sebastian Jaster, Elke Franz 0001, Diana Göhringer Enhancing Robustness and Reliability of Networks-on-Chip with Network Coding. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Junchao Chen 0001, Li Lu, Marko S. Andjelkovic, Markus Ulbricht 0002, Milos Krstic Adaptive Lock-Step System for Resilient Multiprocessing Architectures. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1 IEEE Nordic Circuits and Systems Conference, NorCAS 2023, Aalborg, Denmark, October 31 - Nov. 1, 2023 Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fabian Seiler, Nima TaheriNejad An IMPLY-based Semi-Serial Approximate In-Memristor Adder. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Samuel Boyle, Aksel Gunderson, Milica Orlandic High-level FPGA Design of Deep Learning Hyperspectral Anomaly Detection. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Francesco Gagliardi 0002, Danilo Scintu, Massimo Piotto, Paolo Bruschi, Michele Dei Performance Modelling of Optimal Combination Algorithms Applied to Arbitrary Data Converter Architectures. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Daniel Dik, Michael Stübert Berger Control Plane Isolation of Network Security Protocols using FPGA-SoC Trusted Execution Environment. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Luca Pezzarossa, Martin Schoeberl Transitioning to Chisel in University Education: Experiences and Lessons Learned. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sini Huemer, Ahmad Sadigh Baroughi, Hadi Shahriar Shahhoseini, Nima TaheriNejad Approximation-aware Task Partitioning on an Approximate-Exact MPSoC (AxE). Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Olle Martinsson Implementation and Performance of a General Purpose Incremental Sigma-Delta Converter. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ont-Derh Lin, Shi-Yu Huang Cell-Based Aging Sensor Using Built-In Speed Grading. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hans Jakob Damsgaard, Aleksandr Ometov, Jari Nurmi Verification of Approximate Hardware Designs with ChiselVerify. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fabian Kreß, Johannes Pfau, Fabian Kempf, Patrick Schmidt, Zhuofan He, Tanja Harbaum, Jürgen Becker 0001 Automated Replacement of State-Holding Flip-Flops to Enable Non-Volatile Checkpointing. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Joonas Valkama, Mehdi Safarpour, Håkan Dicander, Zhongmin Deng, Andreas Burg, Olli Silvén Low Power LDPC Decoding by Reliable Voltage Down-Scaling. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Negar Shabanzadeh, Aarno Pärssinen, Timo Rahkonen Time-varying distortion contribution analysis of single-transistor mixers. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Michael Köfinger, Patrick Fath, Harald Pretl An Open-Source Micro-Watt 130-dB Delta-Sigma Modulator with 600mVpp Input Range for DC-Coupled Biosignal Acquisition. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Topi Leppänen, Joonas Multanen, Leevi Leppänen, Pekka Jääskeläinen AFOCL: Portable OpenCL Programming of FPGAs via Automated Built-in Kernel Management. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jacopo Sini, Mohammadreza Amel Solouki, Massimo Violante Guidelines for Implementing Control Flow Checking into Automotive Embedded Applications Developed with C Language. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ahmed M. Mohey, Marko Kosunen, Jussi Ryynänen, Martin Andraud Toward All-Digital Time-Domain Neural Network Accelerators for In-Sensor Processing Applications. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Johannes Kappes, Robert Kunzelmann, Karsten Emrich, Conrad Foik, Daniel Mueller-Gritschneder, Wolfgang Ecker Effective Processor Model Generation from Instruction Set Simulator to Hardware Design. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ali Hachem, Imane Belalchheb, Yoann Moline, Frédérick Carrel, Gwenolé Corre FPGA Implementation of MLP, 1D-CNN and TTTratio algorithms for Neutron/Gamma-ray Discrimination using Plastic Scintillator. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Martti Forsell, Jussi Roivainen, Ville Leppänen, Jesper Larsson Träff Preliminary Performance and Memory Access Scalability Study of Thick Control Flow Processors. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kimiyoshi Usami, Daiki Yokoyama, Aika Kamei, Hideharu Amano Optimal switching time to minimize store energy in MTJ-based flip-flops under process and temperature variations. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sebastian Birke, Bjoern Hartmann, Dominik Auras, Markus Wloka, Gerd Ascheid, Rainer Leupers Design and Exploration of an ARC-Coprocessor for LSTM Based Audio Applications. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Esko Pekkarinen, Mikko Teuho, Timo Hämäläinen 0001 Python API for Kactus2 IP-XACT tool. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Franz Marcus Schüffny, Stefan Hänzsche, Marc Berthel, Seyed Mohammad Ali Zeinolabedin, Stefan Scholze, Sebastian Höppner, Richard Miru George, Christian Mayr 0001 A Single Battery Supply Power Concept for a Neuro Recording and Flexible Processing Chain in 22 nm. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Agnimesh Ghosh, Andrei Spelman, Tze Hin Cheung, Dhanashree Boopathy, Vishnu Unnikrishnan, Vesa Lampu, Guixian Xu, Lauri Anttila, Kari Stadius, Marko Kosunen, Jussi Ryynänen Reconfigurable Signal Processing and DSP Hardware Generator for 5G Transmitters. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Thomas Mausolf, Frank Herzel, Gunter Fischer An Integrated Circuit to Reduce Phase Noise and Spurious Tones in Radar Systems. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Johnson Loh, Tobias Gemmeke Dataflow Optimizations in a Sub-uW Data-Driven TCN Accelerator for Continuous ECG Monitoring. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Christian Lanius, Tobias Gemmeke Multi-Function CIM Array for Genome Alignment Applications built with Fully Digital Flow. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Baktash Behmanesh, Joachim Rodrigues, Henrik Sjöland An 88% fractional bandwidth reconfigurable power amplifier for NB-IoT and LTE-M in 22 nm CMOS FDSOI. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Negar Shabanzadeh, Mostafa Jafari Nokandi, Kimmo Rasilainen, Jiangcheng Chen, Sumit Pratap Singh, Aarno Pärssinen, Timo Rahkonen A Study of the Effects Limiting the Responsivity of A Broadband THz Power Detector with On-chip Antenna in $0.13\mu \mathrm{m}$ SiGe HBT Technology. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Victor Aberg, Han Zhou, Christian Fager, Lars Svensson RF PA Predistortion using Non-Linear RF-DACs. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Siavash Mowlavi, Stavros Giannakopoulos, Lars Svensson VCSEL Integrated Circuit Drivers: A Review. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rizwan Tariq Syed, Yanhua Zhao, Markus Ulbricht 0002, Vladica Sark, Milos Krstic FPGA-Based Acceleration of Convolutional Neural Network for Gesture Recognition Using mm-Wave FMCW Radar. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Safdar Mahmood, Stefan Scharoba, Jonas Schorlemer, Christian Schulz 0010, Michael Hübner 0001, Marc Reichenbach Detecting Improvised Land-mines using Deep Neural Networks on GPR Image Dataset targeting FPGAs. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yu-Kai Huang, Saul Rodriguez 0001 Noise Analysis of Current-Feedback DC-Servo Loop in Current-Balancing Chopper Amplifiers. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fredrik Feyling, Hampus Malmberg, Carsten Wulff, Hans-Andrea Loeliger, Trond Ytterdal High-level Comparison of Control-Bounded A/D Converters and Continuous- Time Sigma-Delta Modulators. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rafael Romón Sagredo, Erik Börjeson, Ali Mirani, Magnus Karlsson 0001, Per Larsson-Edefors Waveform Memory for Real-Time FPGA Test of Fiber-Optic Receiver DSPs. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Syed Anas Alam, Jakob Furbo Enevoldsen, Andreas Alkjaer Eriksen, Niels William Hartmann, Ulrik Helk, Jphirgen Kragh Jakobsen, Christa Skytte Jensen, Nicolai Dyre Bülow Jespersen, Karl Herman Krause, Mads Rumle Nordstrphim, Tjark Petersen, Luca Pezzarossa, Simon Winther Rasmussen, Martin Schoeberl, Jonas Ingerslev Sphirensen Open-Source Chip Design in Academic Education. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mayank Kabra, Prashanth H. C., Madhav Rao Design and Evaluation of Performance-efficient SoC-on-FPGA for Cloud-based Healthcare Applications. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jari Nurmi, Dag T. Wisland, Snorre Aunet, Kristian Kjelgaard (eds.) IEEE Nordic Circuits and Systems Conference, NorCAS 2022, Oslo, Norway, October 25-26, 2022 Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Qirui Hua, Laura Amalie Rytoft, Ben Klauman KrØyer, Ole Rahbek, SØren Vedding Kold, Ming Shen 0001 Design and In-Vivo Test of Battery-Free Implantable Temperature Sensor Based on Magnetic Resonant Wireless Power Transfer. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xinyi Ge, Yong Chen 0005, Lin Wang, Nan Qi, Pui-In Mak, Rui Paulo Martins A 28-Gb/s 13.8-mW Half-Rate Bang-Bang Clock and Data Recovery Circuit Using Return-to-Zero-Based Symmetrical Bang-Bang Phase Detector. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alvaro Cintas Canto, Mehran Mozaffari Kermani, Reza Azarderakhsh, Kris Gaj CRC-Oriented Error Detection Architectures of Post-quantum Cryptography Niederreiter Key Generator on FPGA. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Maryam Rafati, Seyed Ruhallah Qasemi, Atila Alvandpour A Dynamic Range Extension Technique for Pseudo-Resistive Transimpedance Amplifiers Based on Two-Step Conversion. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohammad Riazati, Masoud Daneshtalab, Mikael Sjödin, Björn Lisper DeepFlexiHLS: Deep Neural Network Flexible High-Level Synthesis Directive Generator. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lars Nolte, Tim Twardzik, Camille Jalier, Zhigang Huang, Jiyuan Shi, Thomas Wild, Andreas Herkersdorf GLS Tracing: Gem5-based Low-intrusive Software Tracing. Search on Bibsonomy NorCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Clemens Pircher, Alexander Baranyai, Christoph Lehr, Martin Schoeberl Accelerator Interface for Patmos. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shadi M. Harb, William R. Eisenstadt Signal Integrity in High Speed 3D IC Design- A Case Study. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohammad Reza Heidari Iman, Jaan Raik, Maksim Jenihhin, Gert Jervan, Tara Ghasempouri A Methodology for Automated Mining of Compact and Accurate Assertion Sets. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Panu Sjövall, Matti Rasinen, Ari Lemmetti, Jarno Vanne High-Level Synthesis Implementation of an Accurate HEVC Interpolation Filter on an FPGA. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dimitrios Stathis 0001, Panagiotis Chaourani, Syed M. A. H. Jafri, Ahmed Hemani Clock Tree Generation by Abutment in Synchoros VLSI Design. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Luis Henrique Rodovalho, Cesar Ramos Rodrigues, Orazio Aiello CMOS inverter linearization technique with active source degeneration. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sauli Haukka, Jere Rusanen, Alok Sethi, Aarno Pärssinen, Timo Rahkonen, Janne P. Aikio Broadband Analog Predistortion Circuits Utilizing Derivative Superposition. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Oscar Morales Chacon, J. Jacob Wikner, Atila Alvandpour, Liter Siek A digital switching scheme to reduce DAC glitches using code-dependent randomization. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tobias Stuckenberg, Malte Rücker, Niklas Rother, Rochus Nowosielski, Frank Wiese, Holger Blume Powerline Communication System-on-Chip in 180 nm Harsh Environment SOI Technology. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Markus Mogensen Henriksen, Dennis Øland Larsen, Pere Llimós Muntal Analysis and Design of Start-up Circuits for a 48 V-12 V Switched-Capacitor Converter in a 180 nm SOI Process. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Topi Leppänen, Panagiotis Mousouliotis, Georgios Keramidas, Joonas Multanen, Pekka Jääskeläinen Unified OpenCL Integration Methodology for FPGA Designs. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Li Lu, Junchao Chen 0001, Anselm Breitenreiter, Oliver Schrape, Markus Ulbricht 0002, Milos Krstic Machine Learning Approach for Accelerating Simulation-based Fault Injection. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mehdi Safarpour, Tommy Z. Deng, John Massingham, Lei Xun, Mohammad Sabokrou, Olli Silvén Low-Voltage Energy Efficient Neural Inference by Leveraging Fault Detection Techniques. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Somayeh Hossein Zadeh, Trond Ytterdal, Snorre Aunet Subthreshold Power PC and Nand Race-Free Flip-Flops in Frequency Divider Applications. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Milad Zamani, Yasser Rezaeiyan, Omid Shoaei, Farshad Moradi A 7.2µW Magnitude/Phase Bio-impedance Measurement Front-End with PWM Output in 0.18µm CMOS. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chuanjun Zhang, Shivangi Katiyar, Mitch Diamond, Olivier Franza Approximate Computation on Commodity Computers through Bit-Serial Processing. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jari Nurmi, Darshika G. Perera Intelligent Cognitive Radio Architecture Applying Machine Learning and Reconfigurability. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zain Taufique, Anil Kanduri, Muhammad Awais Bin Altaf, Pasi Liljeberg Approximate Feature Extraction for Low Power Epileptic Seizure Prediction in Wearable Devices. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jari Nurmi, Dag T. Wisland, Snorre Aunet, Kristian Kjelgaard (eds.) IEEE Nordic Circuits and Systems Conference, NorCAS 2021, Oslo, Norway, October 26-27, 2021 Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Andrew Dobis, Tjark Petersen, Hans Jakob Damsgaard, Kasper Juul Hesse Rasmussen, Enrico Tolotto, Simon Thye Andersen, Richard Lin, Martin Schoeberl ChiselVerify: An Open-Source Hardware Verification Library for Chisel and Scala. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Christian Westmark Sønnichsen, Paul Stephansson A Gate Voltage Sensing Ripple Reduction Control Technique for Switched-Capacitor DC-DC Converters. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Giovanni Mezzina, Daniela De Venuto Low-Complexity Unidimensional CNN based Brain Speller for Embedded Platforms. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Meenali Janveja, Mayank Tantuway, Ketan Chaudhari, Gaurav Trivedi Design of Low Power VLSI Architecture for Classification of Arrhythmic Beats Using DNN for Wearable Device Applications. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zihao Jiao, Xiaofei Wang, Hongrui Luo, Jie Zhang 0039, Ruizhi Zhang 0002, Hong Zhang 0009 Linearity Boosting Technique with Adaptive Sampling Switch Assisted by Signal Prediction for Multi-Channel ADCs in Standard CMOS Process. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 416 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license