The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Synthesis with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (15) 1959-1961 (18) 1962-1963 (24) 1964-1965 (43) 1966-1967 (38) 1968 (33) 1969 (18) 1970 (19) 1971 (16) 1972 (15) 1973 (16) 1974 (29) 1975 (38) 1976 (40) 1977 (43) 1978 (64) 1979 (49) 1980 (42) 1981 (50) 1982 (58) 1983 (51) 1984 (66) 1985 (116) 1986 (131) 1987 (151) 1988 (175) 1989 (261) 1990 (385) 1991 (343) 1992 (364) 1993 (419) 1994 (670) 1995 (556) 1996 (563) 1997 (638) 1998 (810) 1999 (798) 2000 (905) 2001 (872) 2002 (1042) 2003 (1127) 2004 (1330) 2005 (1419) 2006 (1557) 2007 (1634) 2008 (1728) 2009 (1274) 2010 (1485) 2011 (1092) 2012 (1265) 2013 (1428) 2014 (1465) 2015 (1315) 2016 (1581) 2017 (1534) 2018 (1743) 2019 (1994) 2020 (2041) 2021 (2333) 2022 (2508) 2023 (2800) 2024 (641)
Publication types (Num. hits)
article(15949) book(72) data(11) incollection(258) inproceedings(27856) phdthesis(975) proceedings(157)
Venues (Conferences, Journals, ...)
CoRR(4238) ICASSP(818) INTERSPEECH(809) CODES+ISSS(775) ALIFE(711) DAC(700) IEEE Trans. Comput. Aided Des....(668) CASES(604) LOPSTR(567) SSW(528) ICCAD(473) DATE(457) ICMC(455) CDC(419) SMACD(397) ACC(343) More (+10 of total 4355)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 14306 occurrences of 4820 keywords

Results
Found 45278 publication records. Showing 45278 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Alain J. Martin The Design of a Delay-Insensitive Microprocessor: An Example of Circuit Synthesis by Program Transformation. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
31Steffen Lange A program synthesis algorithm exemplified. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
31Klaus P. Jantke An algebraic framework for inductive program synthesis. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
31Ognian Botusharov Learning on the basis of a polynomial pattern synthesis algorithm. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
31Rolf Wiehagen How fast is program synthesis from examples. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
31Gisela Schäfer Some results in the theory of effective program synthesis: learning by defective information. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
31Greg Stitt, Frank Vahid Thread warping: a framework for dynamic synthesis of thread accelerators. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic synthesis, thread warping, warp processing, FPGA, synthesis, multi-core, threads, just-in-time compilation
31Andreas Hamfelt, Jørgen Fischer Nilsson Inductive Synthesis of Logic Programs by Composition of Combinatory Program Schemes. Search on Bibsonomy LOPSTR The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic program schemata, logical combinators, synthesis by composition and specialization of schemas, inductive synthesis, metalogic program environment
31Wen-Jong Fang, Allen C.-H. Wu Multiway FPGA partitioning by fully exploiting design hierarchy. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fine-grained synthesis, functional clustering, multi-way partitioning, multiple-FPGA synthesis
31Toshiyuki Kimura, Munenori Naoe, Yoko Yamakata, Michiaki Katsumoto Subjective effect of synthesis conditions in 3D sound field reproduction system using a few transducers and wave field synthesis. Search on Bibsonomy IUCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF microphone directivity, sound field reproduction, wave field synthesis
31Sandeep S. Kulkarni, Borzoo Bonakdarpour, Ali Ebnenasir Mechanical Verification of Automatic Synthesis of Fault-Tolerant Programs. Search on Bibsonomy LOPSTR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Addition of faulttolerance, Fault-tolerance, Program transformation, Theorem proving, Program synthesis, PVS, Mechanical verification
31Oliver Bringmann 0001, Wolfgang Rosenstiel, Carsten Menn Controller Estimation for FPGA Target Architectures during High-Level Synthesis. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, controller, high-level synthesis, area estimation
31Joachim Keinert, Martin Streubühr, Thomas Schlichter, Joachim Falk, Jens Gladigau, Christian Haubelt, Jürgen Teich, Michael Meredith SystemCoDesigner - an automatic ESL synthesis approach by design space exploration and behavioral synthesis for streaming applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF System design, hardware/software codesign
31Rajesh K. Gupta 0001, Sandeep K. Shukla, Nick Savoiu Efficient Simulation of Synthesis-Oriented System Level Designs. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, SystemC, system-level design
31Jörg Henkel, Rolf Ernst, Ulrich Holtmann, Thomas Benner Adaptation of partitioning and high-level synthesis in hardware/software co-synthesis. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
30Balakrishnan Iyer, Ramesh Karri, Israel Koren Phantom redundancy: a high-level synthesis approach for manufacturability. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fabrication-time reconfigurability, functional unit failure, microarchitecture synthesis, phantom redundancy, genetic algorithm, high level synthesis, high-level synthesis, redundancy, logic design, reconfigurable architectures, manufacturability, microarchitecture, circuit CAD
30Jay K. Adams, John Alan Miller, Donald E. Thomas Execution-time profiling for multiple-process behavioral synthesis. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF execution-time profiling, multiple-process behavioral synthesis, back-annotating, source description, behavioral simulation model, annotated behavioral simulation, high level synthesis, high-level synthesis, timing, timing, logic CAD, digital simulation, circuit analysis computing, hardware design, software profiling, register-transfer level model
30Mahsa Vahidi, Alex Orailoglu Testability metrics for synthesis of self-testable designs and effective test plans. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testability metrics, self-testable designs, effective test plans, unified metrics, synthesis phases, VLSI, VLSI, built-in self test, high level synthesis, high level synthesis, design for testability, BIST, DFT, logic CAD, integrated circuit design, benchmark designs
30Enric Musoll, Jordi Cortadella Scheduling and resource binding for low power. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data-path power budget, low-power data-paths, scheduling, low power, high level synthesis, high-level synthesis, power consumption, adders, multipliers, logic circuits, data flow graphs, trading off, network synthesis, functional units, resource binding, resource-binding
30F. Keith Hanna, Neil Daeche, Mark Longley Veritas+: A Specification Language Based on Type Theory. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Formal verification, Specification languages, Type theory
30Howard Zhou, Jie Sun 0004, Greg Turk, James M. Rehg Terrain Synthesis from Digital Elevation Models. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Terrain synthesis, terrain analysis, texture synthesis, Digital Elevation Models
30Borzoo Bonakdarpour, Sandeep S. Kulkarni, Fuad Abujarad Distributed Synthesis of Fault-Tolerant Programs in the High Atomicity Model. Search on Bibsonomy SSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Parallel synthesis, Fault-tolerance, Distributed algorithms, Program transformation, Program synthesis
30Andrew Ireland, Jamie Stark Combining Proof Plans with Partial Order Planning for Imperative Program Synthesis. Search on Bibsonomy Autom. Softw. Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF partial order planning, deductive synthesis, program synthesis, proof planning
30Mathew A. Sacker, Andrew D. Brown, Peter R. Wilson, Andrew J. Rushton A General Purpose Behavioural Asynchronous Synthesis System. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Behavioural synthesis, asynchronous synthesis, cryptography
30Pao-Ann Hsiung POSE: a parallel object-oriented synthesis environment. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF design-completion check, synthesis rollback, object-oriented technology, hardware synthesis, parallel design
30Cordula Hansen, Arno Kunzmann, Wolfgang Rosenstiel Verification by Simulation Comparison using Interface Synthesis. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Simulation Comparison, Verification, High-Level Synthesis, Interface Synthesis
30Oliver Bringmann 0001, Wolfgang Rosenstiel Cross-Level Hierarchical High-Level Synthesis. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Hierarchical Synthesis, Complex Components, High-Level Synthesis
30Bhed Bahadur Bista, Kaoru Takahashi, Hiroaki Kaminaga, Norio Shiratori A flexible protocol synthesis method for adopting requirement changes. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF flexible protocol synthesis method, requirement changes adoption, communicating entities, maintenance issue, protocol synthesis method, formal specification language LOTOS, formal specification, protocols, software maintenance, specification languages, protocol specification
30Robert B. Norwood, Edward J. McCluskey Synthesis-for-scan and scan chain ordering. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis-for-scan procedure, scan chain ordering, testable circuit design, beneficial scan, VLSI, logic testing, integrated circuit testing, design for testability, logic design, sequential circuits, logic synthesis, flip-flops, integrated circuit design, integrated logic circuits, test strategy, boundary scan testing, functional specifications
30Kaushik De, John A. Chandy, Sumit Roy 0003, Steven Parkes, Prithviraj Banerjee Parallel algorithms for logic synthesis using the MIS approach. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF combinational logic synthesis, VLSI system design, ProperMIS, portable parallel algorithm, parallel algorithms, parallel algorithms, parallel architectures, logic design, combinational circuits, logic synthesis, logic CAD
30Srimat T. Chakradhar, Suman Kanjilal, Vishwani D. Agrawal Finite state machine synthesis with fault tolerant test function. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Design for combinational test generation, finite state machine synthesis, test function embedding, synthesis for testability, fault-tolerant design
30Javier Esparza, Manuel Silva Suárez On the analysis and synthesis of free choice systems. Search on Bibsonomy Applications and Theory of Petri Nets The full citation details ... 1989 DBLP  DOI  BibTeX  RDF free choice nets, linear algebra techniques, state refinement, structure of systems, modular synthesis, top-down synthesis, Analysis, transformation, reduction
29Wencheng Wang, Feitong Liu, Peijie Huang, Enhua Wu Texture synthesis via the matching compatibility between patches. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF texture patch, large textures, match, texture synthesis
29Hyunh Van Luong, Sangjin Cho, Jong-Myon Kim, Uipil Chong Real-Time Sound Synthesis of Plucked String Instruments Using a Data Parallel Architecture. Search on Bibsonomy ICIC (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Music synthesis, Plucked-string instrument, Data parallel architectures, Parallel processing, Physical modeling
29Xin Chen, Wencheng Wang Texture synthesis by interspersing patches in a chessboard pattern. Search on Bibsonomy VRCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF large textures, real-time synthesis, GPU
29Paul Merrell Example-based model synthesis. Search on Bibsonomy SI3D The full citation details ... 2007 DBLP  DOI  BibTeX  RDF texture synthesis, procedural modeling
29Junhyung Um, Taewhan Kim Resource Sharing Combined with Layout Effects in High-Level Synthesis. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF resource allocation, high-level synthesis, layout
29Holger Giese, Stefan Henkler, Martin Hirsch 0001, Florian Klein 0001 Nobody's perfect: interactive synthesis from parametrized real-time scenarios. Search on Bibsonomy SCESM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scenario-based synthesis, model checking, patterns, diagnosis
29Steve Roach, Jeffrey Van Baalen Automated Procedure Construction for Deductive Synthesis. Search on Bibsonomy Autom. Softw. Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF synthesis, procedures, deductive, decision, partial deduction
29Catherine Blake Information synthesis: a new approach to explore secondary information in scientific literature. Search on Bibsonomy JCDL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF information synthesis, information retrieval, information extraction, text mining, document summarization
29Xinguo Liu, Yaohua Hu, Jingdan Zhang, Xin Tong 0001, Baining Guo, Heung-Yeung Shum Synthesis and Rendering of Bidirectional Texture Functions on Arbitrary Surfaces. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF texture mapping, texture synthesis, surfaces, Bidirectional texture function, reflectance and shading models, mesh parameterization
29Sumit Gupta, Rajesh K. Gupta 0001, Nikil D. Dutt, Alexandru Nicolau Coordinated parallelizing compiler optimizations and high-level synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic CSE, parallelizing transformations, presynthesis, embedded systems, high-level synthesis, Code motions, common subexpression elimination
29Alex Doboli, Nagu R. Dhanwada, Adrián Núñez-Aldana, Ranga Vemuri A two-layer library-based approach to synthesis of analog systems from VHDL-AMS specifications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF genetic algorithms, branch-and-bound, performance estimation, VHDL-AMS, Analog synthesis
29Kai Kapp, Viktor K. Sabelfeld Automatic correct scheduling of control flow intensive behavioral descriptions in formal synthesis. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, transformational design, formal synthesis
29Kiam Tian Seow, Chuan Ma, Makoto Yokoo Multiagent Planning as Control Synthesis. Search on Bibsonomy AAMAS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Discrete-Event Control Synthesis, Multiagent Planning, Multiagent Coordination
29Tatsuo Yotsukura, Shigeo Morishima, Satoshi Nakamura 0001 Model-based talking face synthesis for anthropomorphic spoken dialog agent system. Search on Bibsonomy ACM Multimedia The full citation details ... 2003 DBLP  DOI  BibTeX  RDF anthropomorphic dialog agent, face image synthesis, facial animation, lip synchronization
29Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas Bounding the efforts on congestion optimization for physical synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, logic synthesis, physical design, technology mapping, routing congestion
29Navin Vemuri, Priyank Kalla, Russell Tessier BDD-based logic synthesis for LUT-based FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, decomposition, logic synthesis, BDD
29Ing-Jer Huang Co-synthesis of pipelined structures and instruction reordering constraints for instruction set processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compiler instruction optimization, instruction set processor, pipeline hazards, pipeline taxonomy, synthesis
29Li-Yi Wei, Marc Levoy Fast texture synthesis using tree-structured vector quantization. Search on Bibsonomy SIGGRAPH The full citation details ... 2000 DBLP  DOI  BibTeX  RDF image processing, texture synthesis, compression algorithms
29Arvind Rajawat, M. Balakrishnan, Anshul Kumar nterface Synthesis: Issues and Approaches. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Codesign methodology, Interface optimization, Communication protocols, Interface synthesis
29Rastislav Bodík Algorithmic Program Synthesis with Partial Programs and Decision Procedures. Search on Bibsonomy SAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Robert Wille, Daniel Große, Gerhard W. Dueck, Rolf Drechsler Reversible Logic Synthesis with Output Permutation. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Almitra Pradhan, Ranga Vemuri Fast Analog Circuit Synthesis Using Sensitivity Based Near Neighbor Searches. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Yonghong Yang, Zhenyu (Peter) Gu, Changyun Zhu, Li Shang, Robert P. Dick Adaptive chip-package thermal analysis for synthesis and design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Xuejie Qin, Yee-Hong Yang Basic Gray Level Aura Matrices: Theory and its Application to Texture Synthesis. Search on Bibsonomy ICCV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Ioannis A. Ypsilos, Adrian Hilton 0001, Aseel Turkmani, Philip J. B. Jackson Speech-Driven Face Synthesis from 3D Video. Search on Bibsonomy 3DPVT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Steve Roach, Jeffrey Van Baalen Experience Report on Automated Procedure Construction for Deductive Synthesis. Search on Bibsonomy ASE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Makoto Nakashizuka, Hisakazu Kikuchi Edge-based image synthesis model and its application to image coding. Search on Bibsonomy ISCAS (4) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Reinaldo A. Bergamaschi, Andreas Kuehlmann A system for production use of high-level synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
28Ingo Sander, Axel Jantsch, Zhonghai Lu A Case Study of Hardware and Software Synthesis in ForSyDe. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF system design, design methodology, software synthesis, hardware synthesis
28Min Xu, Fadi J. Kurdahi Layout-Driven RTL Binding Techniques for High-Level Synthesis. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF layout-driven register-transfer-level, binding techniques, chip level implementation, high level synthesis, high-level synthesis, design process
28Preeti Ranjan Panda, Nikil D. Dutt 1995 high level synthesis design repository. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF 1995 high level synthesis design repository, VHDL language, behavioral finite state machines, behavioral level, computational complexity, high level synthesis, finite state machines, VHDL, microprocessors, hardware description languages, microprocessor chips, floating point units
28Levent Aksoy, Diego Jaccottet, Eduardo Costa 0001 Design of low complexity digital FIR filters. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-level synthesis, multiple constant multiplications, multiplierless filter design, high-level synthesis, array multipliers
28Michal Irani, Tal Hassner, P. Anandan 0001 What Does the Scene Look Like from a Scene Point? Search on Bibsonomy ECCV (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Novel-view synthesis, Synthesis without structure or motion
28Felix Reimann, Michael Glaß, Martin Lukasiewycz, Joachim Keinert, Christian Haubelt, Jürgen Teich Symbolic voter placement for dependability-aware system synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mttuf, bdd, system synthesis, voter, mean time to failure, mttf
28Changyun Zhu, Zhenyu (Peter) Gu, Robert P. Dick, Li Shang Reliable multiprocessor system-on-chip synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis, multiprocessor system-on-chip, thermal
28Brett H. Meyer, Donald E. Thomas Simultaneous synthesis of buses, data mapping and memory allocation for MPSoC. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bus architecture synthesis, embedded multiprocessor systems-on-chip, partitioning, sharing, memory allocation, data mapping
28Sudeep Pasricha, Young-Hwan Park, Fadi J. Kurdahi, Nikil D. Dutt System-level power-performance trade-offs in bus matrix communication architecture synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF bus matrix synthesis, system-on-chip, power estimation, communication architectures, power-performance trade-offs
28Oliver Bringmann 0001, Wolfgang Rosenstiel, Axel Siebenborn Conflict analysis in multiprocess synthesis for optimized system integration. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, systems-on-chip, system level design, concurrent systems, binding, behavioral synthesis
28Pai H. Chou, Ross B. Ortega, Gaetano Borriello The Chinook hardware/software co-synthesis system. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Chinook hardware/software co-synthesis system, custom logic, design co-simulation, design time constraints, embedded controller design, error-prone tasks, function migration, interface hardware, interface software, system components integration, real-time systems, software tools, logic design, microprocessors, logic CAD, microcontrollers, computer-aided design tools
28Fuad Abujarad, Sandeep S. Kulkarni Multicore Constraint-Based Automated Stabilization. Search on Bibsonomy SSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multicore Algorithms, Stabilization, Program Transformation, Distributed Programs, Program Synthesis
27Katsuyuki Kamei, Minoru Maruyama, Kazuo Seo Scene Synthesis by Assembling Striped Areas of Source Images. Search on Bibsonomy ICIP (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF striped areas assembling, source images, image-based rendering method, scene synthesis, memory data management, walkthrough operations, flythrough system, real time, virtual environment, image synthesis, rendering (computer graphics), view synthesis
26Chen Liu 0013, Chengmo Yang Defense Against Hardware Trojan Collusion in MPSoCs. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Sheikh Ariful Islam, Srinivas Katkoori Hardware Trojan Localization: Modeling and Empirical Approach. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Guy Gogniat Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the Cloud. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Mike Borowczak, Ranga Vemuri S*FSMs for Reduced Information Leakage: Power Side Channel Protection Through Secure Encoding. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Srinivas Katkoori, Sheikh Ariful Islam Introduction and Background. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Nandeesha Veeranna, Benjamin Carrion Schafer Source Code Obfuscation of Behavioral IPs: Challenges and Solutions. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Matthew Lewandowski, Srinivas Katkoori State Encoding Based Watermarking of Sequential Circuits Using Hybridized Darwinian Genetic Algorithm. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Pranesh Santikellur, Rajat Subhra Chakraborty, Swarup Bhunia Hardware IP Protection Using Register Transfer Level Locking and Obfuscation of Control and Data Flow. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Farhath Zareen, Robert Karam A Framework for Detecting Hardware Trojans in RTL Using Artificial Immune Systems. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Richa Agrawal, Ranga Vemuri Encoding of Finite-State Controllers for Graded Security and Power. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Ernst-Rüdiger Olderog, Bernhard Steffen, Wang Yi 0001 (eds.) Model Checking, Synthesis, and Learning - Essays Dedicated to Bengt Jonsson on The Occasion of His 60th Birthday Search on Bibsonomy Model Checking, Synthesis, and Learning The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Anthony W. Lin, Philipp Rümmer Regular Model Checking Revisited. Search on Bibsonomy Model Checking, Synthesis, and Learning The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Giovanni Bacci 0001, Benoît Delahaye, Kim G. Larsen, Anders Mariegaard Quantitative Analysis of Interval Markov Chains. Search on Bibsonomy Model Checking, Synthesis, and Learning The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Markus Frohme, Bernhard Steffen Never-Stop Context-Free Learning. Search on Bibsonomy Model Checking, Synthesis, and Learning The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Manuel Gieseking, Ernst-Rüdiger Olderog High-Level Representation of Benchmark Families for Petri Games. Search on Bibsonomy Model Checking, Synthesis, and Learning The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Simon Dierl, Falk Howar A Taxonomy and Reductions for Common Register Automata Formalisms. Search on Bibsonomy Model Checking, Synthesis, and Learning The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Jakaria Abdullah, Wang Yi 0001 Cause-Effect Reaction Latency in Real-Time Systems. Search on Bibsonomy Model Checking, Synthesis, and Learning The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Tiziana Margaria, Alexander Schieweck Towards Engineering Digital Twins by Active Behaviour Mining. Search on Bibsonomy Model Checking, Synthesis, and Learning The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Yih-Kuen Tsay, Moshe Y. Vardi From Linear Temporal Logics to Büchi Automata: The Early and Simple Principle. Search on Bibsonomy Model Checking, Synthesis, and Learning The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Parosh Aziz Abdulla Regular Model Checking: Evolution and Perspectives. Search on Bibsonomy Model Checking, Synthesis, and Learning The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Tsutomu Sasao, Jon T. Butler Decomposition of Index Generation Functions Using a Monte Carlo Method. Search on Bibsonomy Advanced Logic Synthesis The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Yu-Yun Dai, Robert K. Brayton Identifying Transparent Logic in Gate-Level Circuits. Search on Bibsonomy Advanced Logic Synthesis The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Xuesong Peng, Weikang Qian A Branch-and-Bound-Based Minterm Assignment Algorithm for Synthesizing Stochastic Circuit. Search on Bibsonomy Advanced Logic Synthesis The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Yi-Shan Lu, Keshav Pingali Can Parallel Programming Revolutionize EDA Tools? Search on Bibsonomy Advanced Logic Synthesis The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Rafael Trapani Possignolo, Elnaz Ebrahimi 0001, Haven Blake Skinner, Jose Renau Automated Pipeline Transformations with Fluid Pipelines. Search on Bibsonomy Advanced Logic Synthesis The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Robert Wille, Krishnendu Chakrabarty, Rolf Drechsler, Priyank Kalla Emerging Circuit Technologies: An Overview on the Next Generation of Circuits. Search on Bibsonomy Advanced Logic Synthesis The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Ana Petkovska, Alan Mishchenko, David Novo, Muhsen Owaida, Paolo Ienne Progressive Generation of Canonical Irredundant Sums of Products Using a SAT Solver. Search on Bibsonomy Advanced Logic Synthesis The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 45278 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license