The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1975 (36) 1976 (26) 1977 (56) 1978 (42) 1979 (45) 1980 (48) 1981 (37) 1982 (67) 1983 (51) 1984 (53) 1985 (39) 1986 (46) 1987 (52) 1988 (65) 1989 (46) 1990 (45) 1991 (40) 1992 (30) 1993 (36) 1994 (67) 1995 (73) 1996 (66) 1997 (107) 1998 (122) 1999 (114) 2000 (156) 2001 (119) 2002 (176) 2003 (205) 2004 (233) 2005 (233) 2006 (233) 2007 (259) 2008 (221) 2009 (135) 2010 (95) 2011 (60) 2012 (69) 2013 (68) 2014 (57) 2015 (56) 2016 (51) 2017 (39) 2018 (43) 2019 (48) 2020 (30) 2021 (45) 2022 (28) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(1239) book(14) incollection(22) inproceedings(2766) phdthesis(39) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2784 occurrences of 1319 keywords

Results
Found 4097 publication records. Showing 4097 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Lawrence T. Clark, Dan W. Patterson, Chandarasekaran Ramamurthy, Keith E. Holbert An Embedded Microprocessor Radiation Hardened by Microarchitecture and Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Mohamed Amine Boussadi, Thierry Tixier, Alexis Landrault, Jean-Pierre Dérutin HNCP: A many-core microprocessor ASIC approach dedicated to embedded image processing applications. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Roberto Urban, Heinrich Theodor Vierhaus, Mario Schölzel, Enrico Altmann, Horst Seelig Non-Cyclic Design Space Exploration for ASIPs - Compiler-Centered Microprocessor Design (CoMet). Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12A. S. Molyakov A prototype computer with non-von Neumann architecture based on strategic domestic J7 microprocessor. Search on Bibsonomy Autom. Control. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Tosiron Adegbija, Anita Rogacs, Chandrakant Patel, Ann Gordon-Ross Microprocessor Optimizations for the Internet of Things. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
12Lukás Charvát, Ales Smrcka, Tomás Vojnar HADES: Microprocessor Hazard Analysis via Formal Verification of Parameterized Systems. Search on Bibsonomy MEMICS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Brian Campbell 0001, Ian Stark Randomised testing of a microprocessor model using SMT-solver state generation. Search on Bibsonomy Sci. Comput. Program. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Zhenqiang Yong, Xiaoyan Xiang, Jianyi Meng, Chen Chen 0058 TBCT: Time-Borrowing and Clock Token based error correction and its application in microprocessor. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Tony Nowatzki, Karthikeyan Sankaralingam Modularizing the microprocessor core to outperform traditional out-of-order. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12 17th International Workshop on Microprocessor and SOC Test and Verification, MTV 2016, Austin, TX, USA, December 12-13, 2016 Search on Bibsonomy MTV The full citation details ... 2016 DBLP  BibTeX  RDF
12Aymen Touati, Alberto Bosio, Patrick Girard 0001, Arnaud Virazel, Paolo Bernardi, Matteo Sonza Reorda Improving the Functional Test Delay Fault Coverage: A Microprocessor Case Study. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Irina Stotland, Danil Shpagilev, Nadezhda Starikovskaya UVM based approaches to functional verification of communication controllers of microprocessor systems. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Andrei Tatarnikov An approach to instruction stream generation for functional verification of microprocessor designs. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Peter T. Breuer, Jonathan P. Bowen, Esther Palomar, Zhiming Liu 0001 A Practical Encrypted Microprocessor. Search on Bibsonomy SECRYPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Hippolyte Djonon Tsague, Bheki Twala A Robust Machine Learning Approach to Microprocessor Instructions Identification. Search on Bibsonomy MICAI (2) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Awais Yousaf, Shahid Masud Stochastic model based dynamic power estimation of microprocessor using Imperas simulator. Search on Bibsonomy SysCon The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Stefanos Valadimas, Angela Arapoyanni, Yiorgos Tsiatouhas Timing error mitigation in microprocessor cores. Search on Bibsonomy ICECS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Jeremy Constantin, Andrea Bonetti, Adam Teman, Thomas Christoph Müller, Lorenz Schmid, Andreas Burg DynOR: A 32-bit microprocessor in 28 nm FD-SOI with cycle-by-cycle dynamic clock adjustment. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Aaron Grenat, Sriram Sundaram, Stephen Kosonocky, Ravinder Rachala, Sriram Sambamurthy, Steven Liepe, Miguel Rodriguez, Tom Burd, Adam Clark, Michael Austin, Samuel Naffziger 4.2 Increasing the performance of a 28nm x86-64 microprocessor through system power management. Search on Bibsonomy ISSCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Matthew Tomei, Henry Duwe, Nam Sung Kim, Rakesh Kumar 0002 Bit Serializing a Microprocessor for Ultra-low-power. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Anteneh Gebregiorgis, Mohammad Saber Golanbari, Saman Kiamehr, Fabian Oboril, Mehdi Baradaran Tahoori Maximizing Energy Efficiency in NTC by Variation-Aware Microprocessor Pipeline Optimization. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Masanori Natsui, Akira Tamakoshi, Akira Mochizuki, Hiroki Koike, Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu Stochastic behavior-considered VLSI CAD environment for MTJ/MOS-hybrid microprocessor design. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Snehasish Kumar, William N. Sumner, Arrvindh Shriraman SPEC-AX and PARSEC-AX: extracting accelerator benchmarks from microprocessor benchmarks. Search on Bibsonomy IISWC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Ehsan Aerabi, A. Elhadi Amirouche, Houda Ferradi, Rémi Géraud, David Naccache, Jean Vuillemin The Conjoined Microprocessor. Search on Bibsonomy HOST The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Peter T. Breuer, Jonathan P. Bowen A Fully Encrypted Microprocessor The Secret Computer is Nearly Here. Search on Bibsonomy ANT/SEIT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Sotiris Tselonis, Manolis Kaliorakis, Nikos Foutris, George Papadimitriou 0001, Dimitris Gizopoulos Microprocessor reliability-performance tradeoffs assessment at the microarchitecture level. Search on Bibsonomy VTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12César O. Campos-Aguillón, Rene Celis-Cordova, Ismo K. Hänninen, Craig S. Lent, Alexei O. Orlov, Gregory L. Snider A Mini-MIPS microprocessor for adiabatic computing. Search on Bibsonomy ICRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Bilgiday Yuce, Nahid Farhady Ghalaty, Chinmay Deshpande, Conor Patrick, Leyla Nazhandali, Patrick Schaumont FAME: Fault-attack Aware Microprocessor Extensions for Hardware Fault Detection and Software Fault Response. Search on Bibsonomy HASP@ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Timothy Hayes 0001, Oscar Palomar, Osman S. Unsal, Adrián Cristal, Mateo Valero Future Vector Microprocessor Extensions for Data Aggregations. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Doowon Lee, Tom Kolan, Arkadiy Morgenshtein, Vitali Sokhin, Ronny Morad, Avi Ziv, Valeria Bertacco Probabilistic bug-masking analysis for post-silicon tests in microprocessor verification. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Ravi Suppiah, Ng Siew Qee Shaun Introducing microprocessor-based systems design on an ARM softcore using a FPGA and a teaching auxiliary board. Search on Bibsonomy EDUCON The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Buse Ustaoglu, Berna Örs Yalçin Reliability analysis of MIPS-32 microprocessor register files designed with different fault tolerant techniques. Search on Bibsonomy SIU The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Omar Amin, Youssef Ramzy, Omar Ibrahem, Ahmed Fouad 0001, Khaled Mohamed, Mohamed Abdelsalam System Verilog Assertions Synthesis Based Compiler. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Senwen Kan, Matthew Lam, Tyler Porter, Jennifer Dworak A Case Study: Pre-Silicon SoC RAS Validation for NoC Server Processor. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Xiaolong Guo, Raj Gautam Dutta, Prabhat Mishra 0001, Yier Jin Automatic RTL-to-Formal Code Converter for IP Security Formal Verification. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Ahmed El-Yamany, Sameh El-Ashry, Khaled Salah 0001 Coverage Closure Efficient UVM Based Generic Verification Architecture for Flash Memory Controllers. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Sainath Karlapalem, Shashank Venugopal Scalable, Constrained Random Software Driven Verification. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Yang Xie, Chongxi Bao, Yuntao Liu 0001, Ankur Srivastava 0001 2.5D/3D Integration Technologies for Circuit Obfuscation. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Vibarajan Viswanathan, Juliet Runhaar, Doug Reed, Jun Zhao Tough Bugs vs. Smart Tools - L2/L3 Cache Verification Using System Verilog, UVM and Verdi Transaction Debugging. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Khaled Fathy, Khaled Salah 0001 An Efficient Scenario Based Testing Methodology Using UVM. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Haytham Saafan, M. Watheq El-Kharashi, Ashraf Salem Formal Based Methodology for Inferring Memory Mapped Registers. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Amr B. Darwish, Magdy A. El-Moursy, Mohamed Dessouky Transaction Level Power Modeling (TLPM) Methodology. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Liwei Zhou, Yiorgos Makris Hardware-Based Workload Forensics and Malware Detection in Microprocessors. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Wei Hu 0008, Alric Althoff, Armita Ardeshiricham, Ryan Kastner Towards Property Driven Hardware Security. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Saddam Jamil Quirem, Prasad Krishna Saravu Fake CPU: A Flexible and Simulation Cost-Effective UVC for Testing Shared Caches. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Prasad Krishna Saravu Multi-processor Memory Scoreboard: A Multi-processor Memory Ordering and Data Consistency Checker. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Ahmed El-Yamany Echoing the "Generality Concept" through the Bus Functional Model Architecture in Universal Verification Environments. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Seongjong Kim, Mingoo Seok Variation-Tolerant, Ultra-Low-Voltage Microprocessor With a Low-Overhead, Within-a-Cycle In-Situ Timing-Error Detection and Correction Technique. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Lieven Eeckhout Hot Chips in an Increasingly Diverse Microprocessor Landscape. Search on Bibsonomy IEEE Micro The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Chang-Chih Chen, Linda S. Milor Microprocessor Aging Analysis and Reliability Modeling Due to Back-End Wearout Mechanisms. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Mohammad Abdur Rouf, Soontae Kim Low-Cost Control Flow Protection via Available Redundancies in the Microprocessor Pipeline. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Michail Maniatakos, Maria K. Michael, Yiorgos Makris Multiple-Bit Upset Protection in Microprocessor Memory Arrays Using Vulnerability-Based Parity Optimization and Interleaving. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Brian W. Curran, Christian Jacobi 0002, J. J. Bonanno, D. A. Schroter, K. J. Alexander, A. Puranik, Markus M. Helms The IBM z13 multithreaded microprocessor. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Sai Manoj P. D., Hao Yu 0001, Kanwen Wang 3D Many-Core Microprocessor Power Management by Space-Time Multiplexing Based Demand-Supply Matching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Steve Kerrison, Kerstin Eder Energy Modeling of Software for a Hardware Multithreaded Embedded Microprocessor. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Fabian Oboril, Mehdi Baradaran Tahoori Exploiting Instruction Set Encoding for Aging-Aware Microprocessor Design. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Taizhi Liu, Chang-Chih Chen, Woongrae Kim, Linda Milor Comprehensive reliability and aging analysis on SRAMs within microprocessor systems. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Ehsan Aerabi, A. Elhadi Amirouche, Houda Ferradi, Rémi Géraud, David Naccache, Jean Vuillemin The Conjoined Microprocessor. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2015 DBLP  BibTeX  RDF
12Jim Esch Spin-Based Computing: Device Concepts, Current Status, and a Case Study on a High-Performance Microprocessor. Search on Bibsonomy Proc. IEEE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Jongyeon Kim, Ayan Paul, Paul A. Crowell, Steven J. Koester, Sachin S. Sapatnekar, Jianping Wang 0006, Chris H. Kim Spin-Based Computing: Device Concepts, Current Status, and a Case Study on a High-Performance Microprocessor. Search on Bibsonomy Proc. IEEE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Xianhe Huang, Dong Liu, Yan Wang, Pingping Chen, Wei Fu 100-MHz Low-Phase-Noise Microprocessor Temperature-Compensated Crystal Oscillator. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Chen Sun 0003, Mark T. Wade, Yunsup Lee, Jason S. Orcutt, Luca Alloatti, Michael Georgas, Andrew Waterman, Jeffrey M. Shainline, Rimas Avizienis, Sen Lin, Benjamin Moss, Rajesh Kumar, Fabio Pavanello, Amir H. Atabaki, Henry Cook, Albert J. Ou, Jonathan C. Leu, Yu-Hsin Chen, Krste Asanovic, Rajeev J. Ram, Milos A. Popovic, Vladimir Marko Stojanovic Single-chip microprocessor that communicates directly using light. Search on Bibsonomy Nat. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Ismo K. Hänninen, César O. Campos-Aguillón, Rene Celis-Cordova, Gregory L. Snider Design and Fabrication of a Microprocessor Using Adiabatic CMOS and Bennett Clocking. Search on Bibsonomy RC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Roberto Urban, Mario Schölzel, Heinrich Theodor Vierhaus, Enrico Altmann, Horst Seelig Compiler-Centred Microprocessor Design (CoMet) - From C-Code to a VHDL Model of an ASIP. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12 16th International Workshop on Microprocessor and SOC Test and Verification, MTV 2015, Austin, TX, USA, December 3-4, 2015 Search on Bibsonomy MTV The full citation details ... 2015 DBLP  BibTeX  RDF
12Michal Mackowski, Michal Niezabitowski Power Consumption Analysis of Microprocessor Unit Based on Software Realization. Search on Bibsonomy CSCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Irina Stotland, Aleksey Meshkov, Vitaly Kutsevol Standalone functional verification of multicore microprocessor memory subsystem units based on application of memory subsystem models. Search on Bibsonomy EWDTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Cyril Bottoni, Benjamin Coeffic, Jean-Marc Daveau, Gilles Gasiot, Fady Abouzeid, Sylvain Clerc, Lirida A. B. Naviner, Philippe Roche Frequency and voltage effects on SER on a 65nm Sparc-V8 microprocessor under radiation test. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Pong-Fei Lu, Keith A. Jenkins, K. Paul Muller, Ralf Schaufler Long-term data for BTI degradation in 32nm IBM microprocessor using HKMG technology. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Lei Wang 0011, Yuxing Tang, Yu Deng 0001, Fangyan Qin, Qiang Dou, Guangda Zhang, Feipeng Zhang A Scalable and Fast Microprocessor Design Space Exploration Methodology. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Andrea Höller, Tobias Rauter, Johannes Iber, Christian Kreiner Diverse Compiling for Microprocessor Fault Detection in Temporal Redundant Systems. Search on Bibsonomy CIT/IUCC/DASC/PICom The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Tiago Reimann, Cliff C. N. Sze, Ricardo Reis 0001 Gate sizing and threshold voltage assignment for high performance microprocessor designs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Sukrat Gupta, Neel Gala, G. S. Madhusudan, V. Kamakoti 0001 SHAKTI-F: A Fault Tolerant Microprocessor Architecture. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Cyril Bottoni, Benjamin Coeffic, Jean-Marc Daveau, Lirida A. B. Naviner, Philippe Roche Partial triplication of a SPARC-V8 microprocessor using fault injection. Search on Bibsonomy LASCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Buse Ustaoglu, Berna Örs Yalçin Fault tolerant register file design for MIPS AES-crypto microprocessor. Search on Bibsonomy ICECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Riccardo Cantoro, Marco Gaudesi, Ernesto Sánchez 0001, Giovanni Squillero Exploiting Evolutionary Computation in an Industrial Flow for the Development of Code-Optimized Microprocessor Test Programs. Search on Bibsonomy GECCO (Companion) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Naoya Onizawa, Akira Mochizuki, Akira Tamakoshi, Takahiro Hanyu A sudden power-outage resilient nonvolatile microprocessor for immediate system recovery. Search on Bibsonomy NANOARCH The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Praveen Mosalikanti, Nasser A. Kurd, Christopher Mozak, Takao Oshita Low power analog circuit techniques in the 5th generation intel coreTM microprocessor (broadwell). Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Vinay Vashishtha, Lawrence T. Clark, Srivatsan Chellappa, Anudeep R. Gogulamudi, Aditya Gujja, Chad Farnsworth A soft-error hardened process portable embedded microprocessor. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Vladimir Ruchkin, Vitaly Romanchuk, Vladimir Fulin, Boris Kostrov, Ekaterina Ruchkina Parallelism in embedded microprocessor systems based on clustering. Search on Bibsonomy MECO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Kosta Luria, Joseph Shor, Michael Zelikson, Alex Lyakhov 8.7 Dual-use low-drop-out regulator/power gate with linear and on-off conduction modes for microprocessor on-die supply voltages in 14nm. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12James D. Warnock, Brian W. Curran, John Badar, Gregory Fredeman, Donald W. Plass, Yuen H. Chan, Sean M. Carey, Gerard Salem, Friedrich Schroeder, Frank Malgioglio, Guenter Mayer, Christopher J. Berry, Michael H. Wood, Yiu-Hing Chan, Mark D. Mayo, John Isakson, Charudhattan Nagarajan, Tobias Werner 0001, Leon J. Sigal, Ricardo Nigaglioni, Mark Cichanowski, Jeffrey A. Zitz, Matthew M. Ziegler, Tim Bronson, Gerald Strevig, Daniel Dreps, Ruchir Puri, Douglas Malone, Dieter F. Wendel, Pak-kin Mak, Michael A. Blake 4.1 22nm Next-generation IBM System z microprocessor. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Sheng Wang 0005, Xiaoyan Xiang, Chen Chen 0058, Jianyi Meng An energy-efficient microprocessor using multilevel error correction for timing error tolerance. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Lukás Charvát, Ales Smrcka, Tomás Vojnar Microprocessor Hazard Analysis Via Formal Verification of Parameterized Systems. Search on Bibsonomy EUROCAST The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Mohammad Hashem Haghbayan, Sami Teravainen, Amir-Mohammad Rahmani, Pasi Liljeberg, Hannu Tenhunen Adaptive fault simulation on many-core microprocessor systems. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Quintin Verzosa, Wah A. Lee Alex Testing microprocessor-based numerical transformer differential protection. Search on Bibsonomy IAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Jean-Luc Dekeyser, A. Shadi Aljendi Adopting new learning strategies for computer architecture in higher education: case study: building the S3 microprocessor in 24 hours. Search on Bibsonomy WCAE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Pradeepkumar Krishnamoorthy, Cheng Siong Chin, Zuchang Gao, Weipeng Lin A multi-hop microprocessor based prototype system for remote vibration and image monitoring of underwater offshore platform. Search on Bibsonomy RAM/CIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Matthew R. Johnson 0003 Fast, accurate power measurement and optimization for microprocessor platforms Search on Bibsonomy 2015   RDF
12Yang Lin Cost-effective radiation hardened techniques for microprocessor pipelines. Search on Bibsonomy 2015   RDF
12Amandeep Sharan, Ashish Gupta Hybrid Post Silicon Validation Methodology for Layerscape SoCs involving Secure Boot: Boot (Secure & Non-secure) and Kernel Integration with Randomized Test. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Mikhail M. Chupilko, Alexander S. Kamkin, Artem Kotsynyak, Alexander Protsenko, Sergey A. Smolov, Andrei Tatarnikov Specification-Based Test Program Generation for ARM VMSAv8-64 Memory Management Units. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Xiaolong Guo, Raj Gautam Dutta, Yier Jin Hierarchy-Preserving Formal Verification Methods for Pre-silicon Security Assurance. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Mark Nelson 0004, Peter-Michael Seidel Modeling and Analysis of Trusted Boot Processes Based on Actor Network Procedures. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Harshit Goyal, Vishwani D. Agrawal Characterizing Processors for Energy and Performance Management. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Sourav Roy, Nikhil Jain, Sandeep Jain, Robert Page Leveraging Virtual Prototype Models for Hardware Verification of an Accelerated Network Packet Processing Engine. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Bicky Shakya, Fahim Rahman, Mark M. Tehranipoor, Domenic Forte Harnessing Nanoscale Device Properties for Hardware Security. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Jeremy Ridgeway Performance of a SystemVerilog Sudoku Solver with VCS. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Taylor Holmes, Andrew Passerelli, John Connor SoC Development and Prototype with VDK. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12John Hudson, Gunaranjan Kurucheti Enhancing the Stress and Efficiency of RIS Tools Using Coverage Metrics. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #1001 - #1100 of 4097 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license