The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for switches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1979 (15) 1980-1983 (16) 1984-1987 (25) 1988 (17) 1989-1990 (43) 1991 (17) 1992 (29) 1993 (29) 1994 (34) 1995 (65) 1996 (62) 1997 (100) 1998 (86) 1999 (107) 2000 (141) 2001 (136) 2002 (169) 2003 (218) 2004 (250) 2005 (280) 2006 (294) 2007 (302) 2008 (268) 2009 (194) 2010 (95) 2011 (75) 2012 (81) 2013 (90) 2014 (102) 2015 (108) 2016 (106) 2017 (103) 2018 (136) 2019 (151) 2020 (140) 2021 (153) 2022 (119) 2023 (124) 2024 (23)
Publication types (Num. hits)
article(1581) book(3) data(1) incollection(6) inproceedings(2878) phdthesis(34)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2791 occurrences of 1397 keywords

Results
Found 4503 publication records. Showing 4503 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Ming Hao, Mark A. Heinrich Active I/O Switches in System Area Networks. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Eryk Laskowski, Marek Tudruj Inter-processor Connection Reconfiguration Based on Dynamic Look-Ahead Control of Multiple Crossbar Switches. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Mei Yang, Si-Qing Zheng Pipelined Maximal Size Matching Scheduling Algorithms for CIOQ Switches.. Search on Bibsonomy ISCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Sang-Ho Lee, Dong Ryeol Shin A Simple Pipelined Scheduling for Input-Queued Switches. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Sudeep Pasricha, Alexander V. Veidenbaum Improving Branch Prediction Accuracy in Embedded Processors in the Presence of Context Switches. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Eryk Laskowski Program Structuring Heuristics for Parallel Systems Based on Multiple Crossbar Switches. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Bor-Ren Lin, Tsung-Yu Yang, Yung-Chuan Lee Three-phase high-power-factor rectifier with three AC power switches. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Tetsuya Kobayashi, Luonan Chen, Kazuyuki Aihara Design of Genetic Switches with Only Positive Feedback Loops. Search on Bibsonomy CSB The full citation details ... 2002 DBLP  DOI  BibTeX  RDF monotone dynamical system, stability, delay, switch, genetic network
23Magnus Jonsson Optical Interconnection Technology in Switches, Routers and Optical Cross Connects. Search on Bibsonomy ICPP Workshops The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Kåre Tais Christensen Design and optimization of CMOS switches for switched tuning of LC resonators. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Olav Lysne Deadlock Avoidance for Switches Based on Wormhole Networks. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Inter-switch deadlocks, Interconnect networks, Wormhole routing, Deadlock avoidance, Switch fabric
23Guogen Zhang, William G. Bulgren, Victor L. Wallace A Performance Model of Space-Division ATM Switches with Input and Output Queueing. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Karen Anne Cochrane, Chau Nguyen, Yidan Cao, Noemi M. E. Roestel, Lee Jones, Audrey Girouard Adaptive Soft Switches: Co-Designing Fabric Adaptive Switches with Occupational Therapists for Children and Adolescents with Acquired Brain Injury. Search on Bibsonomy TEI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Robert Stala, Maciej Chojowski, Zbigniew Waradzyn, Andrzej Mondzik, Szymon Folmer, Adam Penczek, Aleksander Skala, Stanislaw Pirog High-Gain Switched-Capacitor DC-DC Converter With Low Count of Switches and Low Voltage Stress of Switches. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23Gubbi Vani HarshaRani, S. Moza, Naren Ramakrishnan, Upinder S. Bhalla SWITCHES: Searchable Web Interface for Topologies of CHEmical Switches. Search on Bibsonomy Bioinform. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23Guanyu Li, Menghao Zhang, Cheng Guo, Han Bao 0011, Mingwe Xu, Hongxin Hu Switches are Scanners Too!: A Fast and Scalable In-Network Scanner with Programmable Switches. Search on Bibsonomy HotNets The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23S. A. Ahamed Ibrahim, P. Anbalagan, Mohamed Ali Jagabar Sathik A New Asymmetric and Cascaded Switched Diode Multilevel Inverter Topology for Reduced Switches, DC Source and Blocked Voltage on Switches. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Changying Wu, Zhou Yang, Yusheng Li, Yuan Zhang, Yevhen Yashchyshyn Methodology to Reduce the Number of Switches in Frequency Reconfigurable Antennas With Massive Switches. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23Petar K. Pepeljugoski, Nicolas Dupuis, Benjamin G. Lee Scalability of optical circuit switches using 2×2 Mach-Zehnder switches as a building block. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
23David A. Sanders, Martin Langner, Giles Tewkesbury Improving wheelchair-driving using a sensor system to control wheelchair-veer and variable-switches as an alternative to digital-switches or joysticks. Search on Bibsonomy Ind. Robot The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Gert Schley, Martin Radetzki, Adán Kohler Degradability Enabled Routing for Network-on-Chip Switches (Routingverfahren zur Unterstützung der Degradierbarkeit von Network-on-Chip Switches). Search on Bibsonomy it Inf. Technol. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Claus Bauer Packet scheduling in input-queued switches with a speedup of less than two and scheduling algorithms for switches with a configuration overhead. Search on Bibsonomy Comput. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Peifang Zhou, Oliver W. W. Yang Design and analysis of per-flow queueing switches and VC-merge switches based on per-VC queueing architecture. Search on Bibsonomy Comput. Commun. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23D. Manjunath, Biplab Sikdar 0001 Variable Length Packet Switches: Delay Analysis of Crossbar Switches under Poisson and Self Similar Traffic. Search on Bibsonomy INFOCOM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Soung C. Liew, Tony T. Lee SKEL: A Fundamental Property Desirable in ATM Switches for Simple Traffic Management - Illustrations with Generic Output-Buffered and Input-Buffered Switches. Search on Bibsonomy Perform. Evaluation The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Hamed F. Dadgour, Muhammad Mustafa Hussain, Kaustav Banerjee A new paradigm in the design of energy-efficient digital circuits using laterally-actuated double-gate NEMs. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Boolean logic minimization, energy-efficient electronics, laterally-actuated NEMS, nanoelectromechanical switches, XOR gates
21Hamed F. Dadgour, Muhammad Mustafa Hussain, Casey Smith, Kaustav Banerjee Design and analysis of compact ultra energy-efficient logic gates using laterally-actuated double-electrode NEMS. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF energy-efficient electronics, laterally-actuated NEMS, nano-electro-mechanical switches, steep-subthreshold switch, logic design, process variation
21Jonathan S. Turner Strong performance guarantees for asynchronous buffered crossbar scheduler. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF asynchronous crossbars, crossbar schedulers, routers, switches, performance guarantees
21Ilias Iliadis, Cyriel Minkenberg Performance of a speculative transmission scheme for scheduling-latency reduction. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF electrooptic switches, scheduling, modeling, packet switching, arbiters
21Soung-Yue Liew, Andrew Khin Huat Tan, Elok Robert Tee A Time Slotted Model for All-Optical Variable-Length Packet Switching without Packet Alignment. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF All optical switches, Slotted switching, Packet alignment, variable Length, Model, Algorithms
21Masayo Haneda, Peter M. W. Knijnenburg, Harry A. G. Wijshoff Optimizing general purpose compiler optimization. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF back-end optimization, compiler switches, compiler tuning, statistical analysis
21Ravi R. Iyer 0001, Laxmi N. Bhuyan Design and Evaluation of a Switch Cache Architecture for CC-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scalable interconnects, shared memory multiprocessors, wormhole routing, execution-driven simulation, Crossbar switches, cache architectures
21Hea-Sook Park, Sung-Jin Moon, Man-Sik Park, Boseob Kwon, Kwang-Suk Song Design of inter processor communication controller using ATM switch and analysis of its optimal message length considering retransmission. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF inter processor communication controller, optimal message length, distributed large-scale multiprocessors, ATM adaptation layer, message error rate, message retransmission rate, asynchronous transfer mode, ATM switch, asynchronous transfer mode switches
21Pil Joong Kim, Yeon Lee, Woon-Sik Kim, Kwangil Lee, Sang-Ha Kim 0001 A simulation study of interoperative methods for congestion control over TCP/AT. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interoperative methods, TCP/ATM, ATM rate-based flow controls, interoperative method, SIMNET, performance, congestion control, resource management, transport protocols, ATM switches, simulation study, buffer requirement, NIST, cell losses
21Chengzhi Li, Riccardo Bettati, Wei Zhao 0001 Static priority scheduling for ATM networks. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF dynamic priority scheduling, delay computation, priority assignment problems, potential cyclic dependency, unstable network, delay sensitive applications, worst case end to end delays, priority assignment methods, asynchronous transfer mode, ATM networks, numerical method, ATM switches, packet delays, arbitrary topology, delay constraints, priority assignment, traffic scheduling, static priority scheduling, computing error
21Young-Wook Cha, Jong-Oh Kim, Kyou-Ho Lee, Ki Jun Han Multiaccess ATM Network for Residential and Small Business Subscribers. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF OAM reference model, small business subscribers, residential subscribers, shared medium-based multiaccess technology, switch port granularity, multiaccess ATM customer network, asynchronous transfer mode, switches, network architecture, connection management, connection control
21Nen-Fu Huang, Chi-An Su, Chieh-Wen Cheng, Chuan-Pwu Wang, Jer-Han Fang, Yi-Jang Wu The Design and Implementation of a Multicast Real-Time Multimedia Protocol. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multicast real-time multimedia protocol, performance contract, multicast function, interconnected ATM switches, QoS guaranteed protocol, quality of service, delay, admission control, transport protocols, group communications, communication networks, bandwidth, multimedia communications, multimedia applications, packet scheduling, routers, high-speed network, resource reservation, data transmission, telecommunication channels, FDDI networks, delay jitter, jitter control
21Bradley C. Kuszmaul The RACE network architecture. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF RACE network architecture, high-performance parallel interconnection network, 6-port switches, preemptable circuit switched strategy, self-regulating circuit, output delay, performance evaluation, real-time systems, parallel architectures, mesh, real-time constraints, Clos network, fat-tree, parallel computer system
21Sabine R. Öhring, Maximilian Ibel, Sajal K. Das 0001, Mohan Kumar On generalized fat trees. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF generalized fat trees, multiprocesser interconnection networks, connection machine architecture, pruned butterflies, fat tree based architecture, inner nodes, leaf processors, recursive scalability, maximal fault-tolerance, logarithmic diameter bisection scalability, fault tolerant self-routing, reliability, parallel architectures, fault tolerant computing, broadcasting, hypercubes, multiprocessor interconnection networks, trees, meshes, communication complexity, symmetry, routers, network routing, switches, rings, pyramids, tori, CM-5
21Young-Keun Park, Gyungho Lee ATM cell scheduling with queue length-based priority scheme. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATM cell scheduling, queue length based priority scheme, nonblocking switch, optimal input bypass queueing method, switch throughput maximisation, cell delay variations, delay variance, cell loss rate, neural network, asynchronous transfer mode, asynchronous transfer mode, ATM switches, buffer size, B-ISDN, FIFO queueing, nonuniform traffic, head-of-line blocking, input queues, cell loss probabilities
21Latha A. Kant, William H. Sanders Loss process analysis of the knockout switch using stochastic activity networks. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF loss process analysis, knockout switch, fast packet switches, consecutive cell losses, tagged port, telecommunication switch design, quality of service, performance, asynchronous transfer mode, asynchronous transfer mode, Markov processes, ATM networks, bursty traffic, B-ISDN, stochastic activity networks, cell loss probability
21Fukiko Hidano Signalling on ATM testbeds: an example. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF telecommunication signalling, telecommunication equipment testing, high-speed links, high-speed switches, ATM testbeds, XUNET testbed, vic, real-time systems, multimedia, protocols, asynchronous transfer mode, multimedia communication, real-time applications, high-speed networking, videoconferencing, signalling, teleconferencing, quality of service guarantees, ISDN, ISDN, virtual circuits, signalling protocols
21Thomas Scholz, Michael Schäfers 0003 An improved dynamic register array concept for high-performance RISC processors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dynamic register array concept, high-performance RISC processors, processor registers, Multi Windows, Threaded Windows, dynamic register array, dynamic register allocation, general purpose registers, fast context switches, short interrupt latency, exception routines, real time systems, data structures, data structures, interrupts, storage allocation, external memory, registers, reduced instruction set computing
21Sangho Ha, Sangyong Han, Heunghwan Kim Partitioning a lenient parallel language into sequential threads. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF lenient parallel language, language partitioning, sequential threads, multithreaded architecture performance, large-scale parallel system, split-phase memory operations, fast context switching, multithreaded code quality, enhanced thread formation scheme, Id/sup -/, long latency instructions, multiple switches, generalized switch-and-merge, thread merging, redundant arc elimination, thread precedence relations, control instructions, DAVRID multithreaded architecture, simulation, graph theory, parallel architectures, graph partitioning, switching, merging, parallel languages, large-scale systems, program control structures, branch instructions
21Bassem A. Alhalabi, Magdy A. Bayoumi A scalable analog architecture for neural networks with on-chip learning and refreshing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analogue storage, scalable analog architecture, on-chip learning, on-chip refreshing, analog storage, analog functional blocks, analog pass switches, system versatility, learning speed, local analog synaptic updating scheme, unbounded scalability, neural networks, learning (artificial intelligence), neural chips, analogue processing circuits
21Dinesh Bhatia, James Haralambides Resource requirements for field programmable interconnection chips. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field programmable interconnection chips, n-permutation graph, network property, routing delays, programmable switches, routing paths, specific I/O permutations, user-configured interconnection, VLSI, VLSI, graph theory, network routing, permutation networks, integrated circuit interconnections, Benes network
21Seung-Woo Seo, Paul R. Prucnal Transparent optical networks for high-performance distributed computing . Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transparent optical networks, optical time-division multiplexing, multi-terahertz bandwidth, electro-optic conversion, low-loss photonic switches, optical data processing unit, ultra-fast optical networks, wavelength-division multiplexing, time division multiplexing, optical fibre LAN, high-performance distributed computing, switch controller
21Ronald D. Fellman, Robert Grygiel, Isaac Chu The effect of preemptive queuing in a priority-based real-time network. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF preemptive queuing, priority-based real-time network, real-time multiprocessor system, interprocessor communications network entrance, data buffering, simulations, performance evaluation, real-time systems, virtual machines, multiprocessor interconnection networks, queueing theory, packet switching, packet switches, performance improvement
21Chao-Ju Hou, Ching-Chih Han, Wun-Chun Chau Priority-based high-speed switch scheduling for ATM networks. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF iterated switching networks, priority-based high-speed switch scheduling, AN2 switch, parallel iterative matching algorithm, maximal input-output matching, priority lists, input/output pairs, probability analysis, switch size, high QoS requirements, simulation, scheduling, parallel algorithms, computational complexity, asynchronous transfer mode, probability, local area networks, iterative methods, time complexity, ATM networks, iterations, switches, high-performance distributed computing
21Randolph G. Foldvik, David Meyer Moving towards ATM: LAN/WAN evolution and experimentation at the University of Oregon. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF WAN evolution, LAN evolution, Oregon University, US WEST, technical ATM equipment trial, ATM services, ATM connectivity, high-end desktop workstations, high-end routers, customer-owned ATM switches, public ATM services, legacy LANs, ATM switched virtual circuits, ATM permanent virtual circuits, local networking capabilities, WAN experimentation, LAN experimentation, protocols, asynchronous transfer mode, ATM, local area networks, wide area networks, educational technology, telecommunication network routing, workstations, IP networking, LAN interconnection, educational computing, optical fibre LAN
21Russell J. Clark 0001, Ronald R. Hutchins Deploying ATM in a data network: an analysis of SVC requirements. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF telecommunication traffic recording, telecommunication switching, campus data networks, SVC requirements, connectionless network layer protocols, connection-oriented service, call setups, concurrent SVCs, large campus networks, circuit-based network, networking requirements, college campus, IP traffic logs, ATM deployment scenarios, circuit replacement algorithms, SVC setup rates, hold times, switched virtual circuits, asynchronous transfer mode, ATM, local area networks, IP, educational technology, ATM switches, educational computing, data network
21C. S. Yang, L. P. Zu, Y. N. Wu A Reconfigurable Modular Fault-Tolerant Hypercube Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF reconfigurable modular fault-tolerant hypercube, modular hypercube, spare-sharing links, ring connection, local spares, FTM, switch failures, modular reconfiguration, reliability, parallel architectures, fault tolerant computing, reconfigurable architectures, hypercube networks, switches, system recovery, links, nodes, system reliability, link failures, fault-tolerant design, faulty nodes, hypercube system, hypercube architecture
21M. Sultan Alam, Rami G. Melhem An Efficient Modular Spare Allocation Scheme and Its Application to Fault Tolerant Binary Hypercubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF modular spare allocation scheme, fault tolerant binary hypercubes, fault tolerant basicblocks, primary nodes, spare nodes, hardware switches, fault tolerant computing, multiprocessing systems, hypercube networks
21Philip J. Bernhard, Daniel J. Rosenkrantz Using the Dual Path Property of Omega Networks to Obtain Conflict-Free Message Routing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF source processors, disjoint network routes, bidirectional network links, bidirectionalnetwork switches, dual path, conflict-free message routing, communication conflicts, destination processors, circuit switchingmode, conflict-free message transmission, message switching, algorithm, parallel algorithms, omega networks, multiprocessorinterconnection networks, message patterns
18Mohammad Alizadeh, Albert G. Greenberg, David A. Maltz, Jitendra Padhye, Parveen Patel, Balaji Prabhakar, Sudipta Sengupta, Murari Sridharan Data center TCP (DCTCP). Search on Bibsonomy SIGCOMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF TCP, ECN, data center network
18Guohui Wang, David G. Andersen, Michael Kaminsky, Konstantina Papagiannaki, T. S. Eugene Ng, Michael Kozuch, Michael P. Ryan c-Through: part-time optics in data centers. Search on Bibsonomy SIGCOMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optical circuit switching, hybrid network, data center networking
18Martín Casado, Michael J. Freedman, Justin Pettit, Jianying Luo, Natasha Gude, Nick McKeown, Scott Shenker Rethinking enterprise network control. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF security, architecture, network, management
18Priya Mahadevan, Puneet Sharma, Sujata Banerjee, Parthasarathy Ranganathan A Power Benchmarking Framework for Network Devices. Search on Bibsonomy Networking The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Network energy management, Benchmarking
18Yury Audzevich, Levente Bodrog, Yoram Ofek, Miklós Telek Packet Loss Analysis of Load-Balancing Switch with ON/OFF Input Processes. Search on Bibsonomy EPEW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Nick McKeown, Thomas E. Anderson, Hari Balakrishnan, Guru M. Parulkar, Larry L. Peterson, Jennifer Rexford, Scott Shenker, Jonathan S. Turner OpenFlow: enabling innovation in campus networks. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ethernet switch, flow-based, virtualization
18Kuei-Chung Chang, Jih-Sheng Shen, Tien-Fu Chen Tailoring circuit-switched network-on-chip to application-specific system-on-chip by two optimization schemes. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, systems on chips, networks on chip, interconnection, Application specific
18Ali Rajabi, Aresh Dadlani, Farhad Hormozdiari, Ahmad Khonsari, Ahmad Kianrad, Hassan Seyed Razi Analysis of the Impact of Wavelength Converters on Contention Resolution in Optical Burst Switching. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Fan Yan, Kai-Hau Yeung The development of novel switching devices by using embedded microprocessing system running linux. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Lotfi Mhamdi A Partially Buffered Crossbar packet switching architecture and its scheduling. Search on Bibsonomy ISCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Xiaoliang Wang 0001, Xiaohong Jiang 0001, Susumu Horiguchi Maintaining Packet Order in Reservation-Based Shared-Memory Optical Packet Switch. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Shared-Memory Optical Packet, Maintaining Packet Order
18Takafumi Watanabe, Masahiro Nakao, Tomoyuki Hiroyasu, Tomohiro Otsuka, Michihiro Koibuchi Impact of topology and link aggregation on a PC cluster with Ethernet. Search on Bibsonomy CLUSTER The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Rongsen He, José G. Delgado-Frias Fault Tolerant Interleaved Switching Fabrics For Scalable High-Performance Routers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Interleaved switching fabrics, RAIF (Redundant Array of Independent Fabrics), I-Cubeout network, multistage interconnection network (MIN)
18Farhad Hormozdiari, Ali Rajabi, Ahmad Khonsari Mathematical Analysis of Delay Line to Wavelength Allocation Algorithmsin Optical Networks. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Mohammad Hosseinabady, Atefe Dalirsani, Zainalabedin Navabi Using the inter- and intra-switch regularity in NoC switch testing. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Cyriel Minkenberg, Mitchell Gusat Speculative Flow Control for High-Radix Datacenter Interconnect Routers. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Joachim Hillebrand, Mehrnoush Rahmani, Richard Bogenberger, Eckehard G. Steinbach Coexistence of Time-Triggered and Event-Triggered Traffic in Switched Full-Duplex Ethernet Networks. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Asha Andrade, Ungzu Mun, Dong Hwan Chung, Alexander E. Mohr Hybrid Host/Network Topologies for Massive Storage Clusters. Search on Bibsonomy MSST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Tiago Fioreze, Remco van de Meent, Aiko Pras An Architecture for the Self-management of Lambda-Connections in Hybrid Networks. Search on Bibsonomy EUNICE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Duk-Hyung Lee, Dong-Kone Kwak, Kyeong-Sik Min Comparative Study on SRAMs for Suppressing Both Oxide-Tunneling Leakage and Subthreshold Leakage in Sub-70-nm Leakage Dominant VLSIs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hamed F. Dadgour, Kaustav Banerjee Design and Analysis of Hybrid NEMS-CMOS Circuits for Ultra Low-Power Applications. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Xiaoliang Wang 0001, Xiaohong Jiang 0001, Susumu Horiguchi CBX-1 Switch: An Effective Load Balanced Switch. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hongbing Fan, Yu-Liang Wu, Ray Chak-Chung Cheung, Jiping Liu Decomposition Design Theory and Methodology for Arbitrary-Shaped Switch Boxes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable interconnection network, switch block, hyperuniversal, FPGA, universal, switch box
18Kushal Datta, Arindam Mukherjee 0001, Arun Ravindran Automated design flow for diode-based nanofabrics. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Automatic synmthesis, optimization
18Eryk Laskowski, Marek Tudruj Embedded Parallel Systems Based on Dynamic Look-Ahead Reconfiguration in Redundant Communication Resources. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Biju K. Raveendran, Sundar Balasubramaniam, K. Durga Prasad, S. Gurunarayanan 0001 A Context-Switch Reduction Heuristic for Power-Aware Off-Line Scheduling. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Wilson Eberle, Yan-Fei Liu, Paresh C. Sen A Simple Large Signal Model for Isolated DC-DC Converters. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Akbar Ghaffar Pour Rahbar, Mushi Jin, Choudhury A. Al Sayeed, Oliver W. W. Yang Aposn Performance Under the Man and Wan Environments. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Rohini Krishnan, R. I. M. P. Meijer, Durand Guillaume Energy-efficient FPGA interconnect architecture design (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Sameer Kumar 0001, Craig B. Stunkel, Laxmikant V. Kalé Improved Point-to-Point and Collective Communication Performance with Output-Queued High-Radix Routers. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Alvaro Munoz, Sanjeev Kumar Effect of Unbalanced Bursty Traffic on Memory-Sharing Schemes for Internet Switching Architecture. Search on Bibsonomy ICN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Unbalanced bursty Traffic, Memory-Sharing Schemes, Shared Memory, Packet Switch
18Alessandro Bissacco Modeling and Learning Contact Dynamics in Human Motion. Search on Bibsonomy CVPR (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Aman Gayasen, Narayanan Vijaykrishnan, Mary Jane Irwin Exploring technology alternatives for nano-scale FPGA interconnects. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA, interconnect, nanotechnology, nanoelectronics
18Nagendra J. Kumar, Siddhartha Shivshankar, Alexander G. Dean Asynchronous software thread integration for efficient software. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF J1850, asynchronous software thread integration, software-implemented communication protocol controllers, hardware to software migration, fine-grain concurrency
18Rohini Krishnan, José Pineda de Gyvez, Martijn T. Bennebroek Low energy FPGA interconnect design. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Erland Nilsson, Johnny Öberg Reducing power and latency in 2-D mesh NoCs using globally pseudochronous locally synchronous clocking. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hot-potato, pseudochronous, network on chip, mesh, clocking, GALS, GPLS
18Fei Li 0003, Yan Lin 0001, Lei He 0001 Vdd programmability to reduce FPGA interconnect power. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Roman Bednarik, Markku Tukiainen Visual attention tracking during program debugging. Search on Bibsonomy NordiCHI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF visual attention, psychology of programming, eye-movement tracking
18Kang Xi, Shin'ichi Arakawa, Masayuki Murata 0001 Virtual Fully Connected WDM Network: Architecture, Scheduling and Performance Evaluation. Search on Bibsonomy BROADNETS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Erland Nilsson, Mikael Millberg, Johnny Öberg, Axel Jantsch Load Distribution with the Proximity Congestion Awareness in a Network on Chip. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Pieter J. Mosterman Hybrid dynamic systems: mode transition behavior in hybrid dynamic systems. Search on Bibsonomy WSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Geir Horn, Olav Lysne, Tor Skeie A Criterion for Cost Optimal Construction of Irregular Networks. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Kei Eguchi, Hongbing Zhu, Fumio Ueno, Toru Tabata Design of a step-up/step-down SC DC-DC converter with series-connected capacitors. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Paul-Peter Sotiriadis Information storage capacity of crossbar switching networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nanotube, network, memory, capacity, information, storage, nanotechnology, switching, device, crossbar, nanowire
18Kwang-Hyun Cho, Jong-Ho Cha, Olaf Wolkenhauer How to Synthesize an Optimized Genetic-Switching System? A System-Theoretic Approach Based on SQP. Search on Bibsonomy CMSB The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Pablo Molinero-Fernández, Nick McKeown The performance of circuit switching in the internet. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 4503 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license