The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for macros with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1976 (16) 1978-1985 (15) 1986-1989 (18) 1990-1992 (15) 1993-1997 (23) 1998 (15) 1999 (17) 2000 (16) 2001 (15) 2002 (27) 2003 (20) 2004 (32) 2005 (22) 2006 (34) 2007 (24) 2008 (27) 2009 (23) 2010-2011 (19) 2012-2013 (16) 2014-2015 (19) 2016-2018 (22) 2019-2021 (18) 2022-2023 (24) 2024 (2)
Publication types (Num. hits)
article(131) book(3) incollection(2) inproceedings(337) phdthesis(6)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 380 occurrences of 289 keywords

Results
Found 480 publication records. Showing 479 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20George T. Dellert Jr. A use of macros in translation of symbolic assembly language of one computer to another. Search on Bibsonomy Commun. ACM The full citation details ... 1965 DBLP  DOI  BibTeX  RDF
11Jerzy Tyszkiewicz Spreadsheet as a relational database engine. Search on Bibsonomy SIGMOD Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, relational databases, sql, relational algebra, spreadsheets
11Jason Cong, Guojie Luo An analytical placer for mixed-size 3D placement. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF placement, 3D integration, analytical method
11Minsik Cho, Haoxing Ren, Hua Xiang 0001, Ruchir Puri History-based VLSI legalization using network flow. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF VLSI, placement, network flow, legalization
11Satyanand Nalam, Mudit Bhargava, Ken Mai, Benton H. Calhoun Virtual prototyper (ViPro): an early design space exploration and optimization tool for SRAM designers. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, design space exploration, SRAM, virtual prototype, iterative design
11Swapna R. Dontharaju, Shen Chih Tung, James T. Cain, Leonid Mats, Marlin H. Mickle, Alex K. Jones A design automation and power estimation flow for RFID systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power, RFID, prototyping, design automation
11Yoann Padioleau Parsing C/C++ Code without Pre-processing. Search on Bibsonomy CC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Reinhard von Hanxleden SyncCharts in C: a proposal for light-weight, deterministic concurrency. Search on Bibsonomy EMSOFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SyncCharts, reactive processing, statecharts, multi-threading, model-based design, esterel, synchronous programming
11Matthew M. Ziegler, Victor V. Zyuban, George Gristede, Milena Vratonjic, Joshua Friedrich The opportunity cost of low power design: a case study in circuit tuning. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power design, productivity, circuit tuning
11Akif Sultan, John Faricelli, Sushant Suryagandh, Hans vanMeer, Kaveri Mathur, James Pattison, Sean Hannon, Greg Constant, Kalyana Kumar, Kevin Carrejo, Joe Meier, Rasit Onur Topaloglu, Darin Chan, Uwe Hahn, Thorsten Knopp, Victor Andrade, Bill Gardiol, Steve Hejl, David Wu, James Buller, Larry Bair, Ali Icel, Yuri Apanovich CAD utilities to comprehend layout-dependent stress effects in 45 nm high- performance SOI custom macro design. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Yoann Padioleau, Lin Tan 0001, Yuanyuan Zhou 0001 Listening to programmers - Taxonomies and characteristics of comments in operating system code. Search on Bibsonomy ICSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Hiroyuki Yotsuyanagi, Masaki Hashizume, Toshiyuki Tsutsumi, Koji Yamazaki, Takashi Aikyo, Yoshinobu Higami, Hiroshi Takahashi, Yuzo Takamatsu Fault Effect of Open Faults Considering Adjacent Signal Lines in a 90 nm IC. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Subramanian Rajagopalan, Sambuddha Bhattacharya, Shabbir H. Batterywala Efficient Analog/RF Layout Closure with Compaction Based Legalization. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Jackey Z. Yan, Natarajan Viswanathan, Chris Chu Handling complexities in modern large-scale mixed-size placement. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF incremental placement, mixed-size design, floorplanning
11Peter Novák 0001, Wojciech Jamroga Code patterns for agent-oriented programming. Search on Bibsonomy AAMAS (1) The full citation details ... 2009 DBLP  BibTeX  RDF ai, description level: methodologies and languages, focus: agent programming languages, inspiration source: robotics, temporal logic, agent-oriented programming, code patterns
11Xiaofeng Wu 0001, Vassilios A. Chouliaras, José L. Núñez-Yáñez, Roger M. Goodall A Novel Delta Sigma Control System Processor and Its VLSI Implementation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jason Cong, Guojie Luo, Eric Radke Highly Efficient Gradient Computation for Density-Constrained Analytical Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Ulrich Brenner, Markus Struzyna, Jens Vygen BonnPlace: Placement of Leading-Edge Chips by Advanced Combinatorial Algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Michael Kohlhase Using as a Semantic Markup Format. Search on Bibsonomy Math. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000). 68U15
11Philippe Lagadec OpenDocument and Open XML security (OpenOffice.org and MS Office 2007). Search on Bibsonomy J. Comput. Virol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Thorsten von Sydow, Holger Blume, Götz Kappen, Tobias G. Noll ASIP-eFPGA Architecture for Multioperable GNSS Receivers. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF arithmetic oriented eFPGA, multioperable GNSS, ASIP
11Jiun-Hung Chen, Daniel S. Weld Recovering from errors during programming by demonstration. Search on Bibsonomy IUI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Raimund Ubar, Sergei Devadze, Jaan Raik, Artur Jutman Parallel fault backtracing for calculation of fault coverage. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Adrian Dozsa, Tudor Gîrba, Radu Marinescu 0001 How Lisp Systems Look Different. Search on Bibsonomy CSMR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Hsin-Chen Chen, Yi-Lin Chuang, Yao-Wen Chang, Yung-Chung Chang Constraint graph-based macro placement for modern mixed-size circuit designs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Vamsi Boppana, Rahoul Varma, S. Balajee Implementing the Best Processor Cores. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Weiwu Hu, Ji-Ye Zhao, Shi-Qiang Zhong, Xu Yang, Elio Guidetti, Chris Wu Implementing a 1GHz Four-Issue Out-of-Order Execution Microprocessor in a Standard Cell ASIC Methodology. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF superscalar pipeline, non-blocking cache, synthesis flow, bit-sliced placement, crafted cell, performance evaluation, physical design, out-of-order execution, general-purpose processor
11Jarrod A. Roy, Igor L. Markov ECO-System: Embracing the Change in Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11William Thies, Vikram Chandrasekhar, Saman P. Amarasinghe A Practical Approach to Exploiting Coarse-Grained Pipeline Parallelism in C Programs. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Raimund Ubar, Sergei Devadze, Jaan Raik, Artur Jutman Ultra Fast Parallel Fault Analysis on Structurally Synthesized BDDs. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Masaitsu Nakajima, Takao Yamamoto, Masayuki Yamasaki, Tetsu Hosoki, Masaya Sumita Low Power Techniques for Mobile Application SoCs Based on Integrated Platform "UniPhier". Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jarrod A. Roy, Igor L. Markov ECO-system: Embracing the Change in Placement. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Gregor Kiczales Making the Code Look Like the Design - Aspects and Other Recent Work. Search on Bibsonomy ICPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Stefan Raaijmakers, Stephan Wong Run-time Partial Reconfiguration for Removal, Placement and Routing on the Virtex-II-Pro. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Sumanta Chaudhuri, Jean-Luc Danger, Sylvain Guilley Efficient Modeling and Floorplanning of Embedded-FPGA Fabric. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Christian Brown, Chris McDonald Visualizing berkeley socket calls in students' programs. Search on Bibsonomy ITiCSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF courseware, internetworking, systems programming
11Tuan Hao Hoang, Robert I. McKay, Daryl Essam, Nguyen Xuan Hoai Developmental Evaluation in Genetic Programming: A Position Paper. Search on Bibsonomy FBIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Christelle Melo de Lima, Christian Gautier A Markovian Approach for the Segmentation of Chimpanzee Genome. Search on Bibsonomy BIRD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF isochore modelling, Hidden Markov model, DNA sequence
11Simone Medardoni, Davide Bertozzi, Enrico Macii Power-optimal RTL arithmetic unit soft-macro selection strategy for leakage-sensitive technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RTL synthesis, leakage-aware, power management, selection strategy
11Christopher Scaffidi, Allen Cypher, Sebastian G. Elbaum, Andhy Koesnandar, Brad A. Myers Scenario-Based Requirements for Web Macro Tools. Search on Bibsonomy VL/HCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Gregg Rothermel Helping End-User Programmers "Engineer" Software: an Opportunity for Empirical Researchers. Search on Bibsonomy ESEM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Kalyanmoy Deb, Shamik Chaudhuri I-MODE: An Interactive Multi-objective Optimization and Decision-Making Using Evolutionary Methods. Search on Bibsonomy EMO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ruben Gamboa, John R. Cowles Implementing a cost-aware evaluator for ACL2 expressions. Search on Bibsonomy ACL2 The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ACL2 evaluator, function cost, evaluators
11Bettina Berendt, Kai Dingel, Christoph Hanser Intelligent Bibliography Creation and Markup for Authors: A Step Towards Interoperable Digital Libraries. Search on Bibsonomy ECDL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Alexey Ershov, Andrey Naraikin, Sergey Maidanov Quad and correctly rounded double precision math functions: portable and optimized for Intel architectures. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF correct rounding, elementaly functions, quad precision, IEEE 754
11Christian Buckl, Alois C. Knoll, Gerhard Schrott Model-Based Development of Fault-Tolerant Embedded Software. Search on Bibsonomy ISoLA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Haakon Dybdahl, Marius Grannæs, Lasse Natvig Cache Write-Back Schemes for Embedded Destructive-Read DRAM. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jason Cong, Min Xie 0004 A robust detailed placement for mixed-size IC designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Matthew Flatt, Robert Bruce Findler, Matthias Felleisen Scheme with Classes, Mixins, and Traits. Search on Bibsonomy APLAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Zhe-Wei Jiang, Tung-Chieh Chen, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang NTUplace2: a hybrid placer using partitioning and analytical techniques. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, physical design, legalization
11Gi-Joon Nam ISPD 2006 Placement Contest: Benchmark Suite and Results. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Maik Boden, Steffen Rülke, Jürgen Becker 0001 A high-level target-precise model for designing reconfigurable HW tasks. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Alex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle A Field Programmable RFID Tag and Associated Design Flow. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Daniel Ignatoff, Gregory H. Cooper, Shriram Krishnamurthi Crossing State Lines: Adapting Object-Oriented Frameworks to Functional Reactive Languages. Search on Bibsonomy FLOPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jake J. Abbott, Allison M. Okamura Pseudo-admittance Bilateral Telemanipulation with Guidance Virtual Fixtures. Search on Bibsonomy HAPTICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF virtual fixtures, virtual mechanisms, admittance control, rate control, teleoperation, force control, telemanipulation
11Akashi Satoh High-speed hardware architectures for authenticated encryption mode GCM. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Laurent Michel, Andrew See, Pascal Van Hentenryck High-Level Nondeterministic Abstractions in. Search on Bibsonomy CP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Gabriella Dodero, Katia Lupi, Erika Piffero Comparing macro development for personal productivity tools: an experience in validating accessibility of Talking Books. (PDF / PS) Search on Bibsonomy OSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Richard Carlsson, Mickaël Rémond EUnit: a lightweight unit testing framework for Erlang. Search on Bibsonomy Erlang Workshop The full citation details ... 2006 DBLP  DOI  BibTeX  RDF frameworks, unit testing, Erlang, agile methods
11Matthew Jacobs, E. Christopher Lewis SMART C: A Semantic Macro Replacement Translator for C. Search on Bibsonomy SCAM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Bin Yao, William Mielke, Steve Kennedy, Rick Buskens C Macro Handling in Automated Source Code Transformation Systems. Search on Bibsonomy ICSM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Uwe Aßmann, Jendrik Johannes, Jakob Henriksson, Ilie Savga Composition of Rule Sets and Ontologies. Search on Bibsonomy Reasoning Web The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Alex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle An automated, reconfigurable, low-power RFID tag. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Toru Asano, Joel Silberman, Sang H. Dhong, Osamu Takahashi, Michael White, Scott R. Cottier, Takaaki Nakazato, Atsushi Kawasumi, Hiroshi Yoshihara Low-Power Design Approach of 11FO4 256-Kbyte Embedded SRAM for the Synergistic Processor Element of a Cell Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 11 fan-out of four, 11FO4, Synergistic Processor Element, private memory, streaming processing, Cell processor, multimedia processing, scratch pad memory
11Weiwu Hu, Fuxin Zhang, Zusong Li Microarchitecture of the Godson-2 Processor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF superscalar pipeline, dynamic scheduling non-blocking cache, load speculation, branch prediction, out-of-order execution, register renaming
11Hisashige Ando, Nestoras Tzartzanis, William W. Walker A Case Study: Power and Performance Improvement of a Chip Multiprocessor for Transaction Processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Howard Chen 0001, Louis Hsu Circuit Design Techniques for On-Chip Power Supply Noise Monitoring System. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jurjen Westra, Patrick Groeneveld Towards Integration of Quadratic Placement and Pin Assignment. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Daniel Thull, Roberto Sannino Performance Considerations for an Embedded Implementation of OMA DRM 2. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Naoyuki Tamura Calc/Cream: OpenOffice Spreadsheet Front-End for Constraint Programming. Search on Bibsonomy INAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ben Salzberg, Trevor M. Murphy LaTeX: when word fails you. Search on Bibsonomy SIGUCCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF word processing, LaTeX, typesetting, TeX
11Michael Hübner 0001, Katarina Paulsson, Jürgen Becker 0001 Parallel and Flexible Multiprocessor System-On-Chip for Adaptive Automotive Applications based on Xilinx MicroBlaze Soft-Cores. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Andres Upegui, Eduardo Sanchez Evolving Hardware by Dynamically Reconfiguring Xilinx FPGAs. Search on Bibsonomy ICES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ryan Culpepper, Scott Owens, Matthew Flatt Syntactic Abstraction in Component Interfaces. Search on Bibsonomy GPCE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Aishwarya Dubey P/G Pad Placement Optimization: Problem Forumulation for Best IR Drop. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF P/G (Power/Ground) pad placement, current sink, package resistance, package inductance, IR drop
11Özgün Paker, Jens Sparsø, Niels Haandbæk, Mogens Isager, Lars Skovby Nielsen A Low-Power Heterogeneous Multiprocessor Architecture for Audio Signal Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ASIP-application specific instruction set processor, low power, multiprocessor, heterogeneous, scalable architecture, audio signal processing
11Srinivasan Murali, Giovanni De Micheli Bandwidth-Constrained Mapping of Cores onto NoC Architectures. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF routing, Systems on Chips, mapping, Networks on Chips, bandwidth, cores
11Antoine Jalabert, Srinivasan Murali, Luca Benini, Giovanni De Micheli ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Systems on Chips, Networks on Chips, SystemC, application-specific, latency-insensitive design
11Beibei Ren, Anru Wang, Joyopriya Bakshi, Kai Liu, Wei Li, Wayne Wei-Ming Dai A Domain-Specific Cell Based ASIC Design Methodology for Digital Signal Processing Applications. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Jeremy J. Carroll, Patrick Stickler RDF triples in XML. Search on Bibsonomy WWW (Alternate Track Papers & Posters) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF XML, semantic web, RDF
11Xiaoping Tang, Martin D. F. Wong Tradeoff routing resource, runtime and quality in buffered routing. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11James Laird A Calculus of Coroutines. Search on Bibsonomy ICALP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Mario Latendresse Rewrite Systems for Symbolic Evaluation of C-like Preprocessing. Search on Bibsonomy CSMR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Enrico Macii RTL power estimation and optimization. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Adronis Niyonkuru, Hans Christoph Zeidler Designing a Runtime Reconfigurable Processor for General Purpose Applications. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11István Zólyomi, Zoltán Porkoláb Towards a General Template Introspection Library. Search on Bibsonomy GPCE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Suhwan Kim, Stephen V. Kosonocky, Daniel R. Knebel, Kevin Stawiasz Experimental measurement of a novel power gating structure with intermediate power saving mode. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF system-on-a-chip (SOC) design, wake-up latency, clock gating, power gating, inductive noise, ground bounce
11Michael Toomim, Andrew Begel, Susan L. Graham Managing Duplicated Code with Linked Editing. Search on Bibsonomy VL/HCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Chanhee Oh, Haldun Haznedar, Martin Gall, Amir Grinshpon, Vladimir Zolotov, Pon Sung Ku, Rajendran Panda A Methodology for Chip-Level Electromigration Risk Assessment and Product Qualification. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Daisuke Watanabe, Masakatsu Suda, Toshiyuki Okayasu 34.1Gbps Low Jitter, Low BER High-Speed Parallel CMOS Interface for Interconnections in High-Speed Memory Test System. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Masaji Kume, Katsutoshi Uehara, Minoru Itakura, Hideo Sawamoto, Toru Kobayashi, Masatoshi Hasegawa, Hideki Hayashi Programmable At-Speed Array and Functional BIST for Embedded DRAM LSI. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Rajiv V. Joshi, K. Kroell, Ching-Te Chuang A Novel Technique For Steady State Analysis For VLSI Circuits In Partially Depleted SOI. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Srinivasan Murali, Giovanni De Micheli SUNMAP: a tool for automatic topology selection and generation for NoCs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF systems on chip, mapping, networks on chip, topology, SystemC
11Kevin W. McCullen Phase correct routing for alternating phase shift masks. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF resolution enhancement techniques (RET), routing, layout, lithography
11Kyung-Saeng Kim, Kwyro Lee Low-power and area-efficient FIR filter implementation suitable for multiple taps. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Diomidis Spinellis Global Analysis and Transformations in Preprocessed Languages. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF reverse engineering, C++, C, Refactoring, renaming, preprocessor, program families
11Eric Baelen Using Excel as a front end to APL+Win. Search on Bibsonomy ACM SIGAPL APL Quote Quad The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Olav Beckmann, Alastair Houghton, Michael R. Mellor, Paul H. J. Kelly Runtime Code Generation in C++ as a Foundation for Domain-Specific Optimisation. Search on Bibsonomy Domain-Specific Program Generation The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Eric E. Allen, Jonathan Bannet, Robert Cartwright A first-class approach to genericity. Search on Bibsonomy OOPSLA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Wonjoon Choi, Kia Bazargan Hierarchical Global Floorplacement Using Simulated Annealing and Network Flow Area Migration. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF floorplacement, global placement, area migration, Design, Algorithms, simulated annealing, Management, Floorplanning, network flow, hierarchical, Placement and routing
Displaying result #301 - #400 of 479 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license