The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for leakage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1990 (17) 1991-1994 (16) 1995-1996 (21) 1997-1998 (29) 1999 (27) 2000 (36) 2001 (66) 2002 (123) 2003 (147) 2004 (230) 2005 (320) 2006 (402) 2007 (355) 2008 (381) 2009 (316) 2010 (232) 2011 (217) 2012 (235) 2013 (276) 2014 (304) 2015 (300) 2016 (307) 2017 (321) 2018 (373) 2019 (464) 2020 (414) 2021 (449) 2022 (482) 2023 (481) 2024 (131)
Publication types (Num. hits)
article(3299) book(3) incollection(19) inproceedings(4099) phdthesis(52)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2239 occurrences of 940 keywords

Results
Found 7472 publication records. Showing 7472 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Shih-Hsu Huang, Chun-Hua Cheng Timing driven power gating in high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Mohammed Abid Hussain, Madhu Mutyam Block remap with turnoff: A variation-tolerant cache design technique. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Andrew B. Kahng How to get real mad. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design-aware manufacturing, integrated circuit physical design, manufacturing-aware design, performance analysis, design for manufacturability
30Benedikt Gierlichs, Lejla Batina, Pim Tuyls, Bart Preneel Mutual Information Analysis. Search on Bibsonomy CHES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Differential Side-Channel Analysis (DSCA), DPA-resistant logic, Information Theory, Mutual Information
30Zhiyu Liu, Volkan Kursun PMOS-Only Sleep Switch Dual-Threshold Voltage Domino Logic in Sub-65-nm CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Minh Quang Do, Per Larsson-Edefors, Mindaugas Drazdziulis High-Accuracy Architecture-Level Power Estimation for Partitioned SRAM Arrays in a 65-nm CMOS BPTM Process. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Kimiyoshi Usami Overview on Low Power SoC Design Technology. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Samuel Rodríguez, Bruce L. Jacob Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm). Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer design, pipelined caches, cache design
30Andreas Moshovos, Babak Falsafi, Farid N. Najm, Navid Azizi A Case for Asymmetric-Cell Cache Memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Jaume Abella 0001, Antonio González 0001, Xavier Vera, Michael F. P. O'Boyle IATAC: a smart predictor to turn-off L2 cache lines. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF turning off cache lines, low power, Cache memories, L2 cache
30Weiping Liao, Lei He 0001 Coupled Power and Thermal Simulation with Active Cooling. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30S. Krishna Kumar, S. Kaundinya, Subhadip Kundu, Santanu Chattopadhyay Customizing pattern set for test power reduction via improved X-identification and reordering. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF don't care bits, runtime leakage power, vector reordering, x-fill, dynamic power
30Sreeharsha Tavva, Dhireesha Kudithipudi Variation tolerant 9T SRAM cell design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bitline leakage, static random access memory (SRAM), process variations, static noise margin, embedded sram
30Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee Compilation for compact power-gating controls. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Compilers for low power, balanced scheduling, power-gating mechanisms, data-flow analysis, leakage-power reduction
30Ravishankar Rao, Sarma B. K. Vrudhula Performance optimal processor throttling under thermal constraints. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage dependence on temperature, power, thermal management, thermal model, throttling
30Christoph Kutter Design challenges for mobile communication devices. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design for low power, SoC, leakage
30Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Power density minimization for highly-associative caches in embedded processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache, embedded processor, leakage power, temperature
30Sarvesh Bhardwaj, Sarma B. K. Vrudhula, Praveen Ghanta, Yu Cao 0001 Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Karhunen-Loeve, intra-die, correlations, process variations, statistical, leakage
30Sylvain Guilley, Philippe Hoogvorst, Yves Mathieu, Renaud Pacalet The "Backend Duplication" Method. Search on Bibsonomy CHES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF secured backend, differential signals, Information leakage
30Karthik Sankaranarayanan, Kevin Skadron Profile-based adaptation for cache decay. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Adaptation, leakage power, interval, cache decay
30Hyo-Sig Won, Kyo-Sun Kim, Kwang-Ok Jeong, Ki-Tae Park, Kyu-Myung Choi, Jeong-Taek Kong An MTCMOS design methodology and its application to mobile computing. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CPFF, low power, leakage current, CCS, MTCMOS
30Amit Agarwal 0001, Hai Li, Kaushik Roy 0001 DRG-cache: a data retention gated-ground cache for low power. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF gated-ground, low leakage cache, SRAM
30Adam L. Young, Moti Yung Bandwidth-Optimal Kleptographic Attacks. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Leakage attacks, the Newton channel, design methodologies for asymmetric ciphers, kleptographic attacks, attack bandwidth, discrete logarithm based systems, tamper-proof hardware designs, public scrutiny, hardware technologies: EEPROM, ferroelectric, trust, DSA, ElGamal, subliminal channels, non-volatile memory
30Liqiong Wei, Kaushik Roy 0001, Vivek De Low Voltage Low Power CMOS Design Techniques for Deep Submicron ICs. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low voltage low power, multiple threshold voltages, multiple supply voltages and leakage control
30David T. Blaauw, Abhijit Dharchoudhury, Rajendran Panda, Supamas Sirichotiyakul, Chanhee Oh, Tim Edwards Emerging power management tools for processor design. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power CAD, standby leakage, power distribution
30Zhiyu Liu, Volkan Kursun Robust Dynamic Node Low Voltage Swing Domino Logic with Multiple Threshold Voltages. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low Voltage Swing, Gate Oxide Leakage, Domino Logic, Subthreshold Leakage, Dual Threshold Voltage
27Lei Zhao, Hui Xu, Naomi Seki, Yoshiki Saito, Yohei Hasegawa, Kimiyoshi Usami, Hideharu Amano Cache Controller Design on Ultra Low Leakage Embedded Processors. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Ruijing Shen, Ning Mi, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong Statistical modeling and analysis of chip-level leakage power by spectral stochastic method. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Xin He, Syed Al-Kadry, Afshin Abdollahi Adaptive leakage control on body biasing for reducing power consumption in CMOS VLSI circuit. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yongwen Pan, Man Lin Dynamic leakage aware power management with procrastination method. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Ahmed Youssef, Mohab Anis, Mohamed I. Elmasry A Comparative Study Between Static and Dynamic Sleep Signal Generation Techniques for Leakage Tolerant Designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Andreas Frotzscher, Gerhard P. Fettweis A Stochastic Gradient LMS Algorithm for Digital Compensation of Tx Leakage in Zero-IF-Receivers. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Tadayoshi Enomoto, Yuki Higuchi A low-leakage current power 180-nm CMOS SRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Leilei Li, Jonathon A. Chambers A novel adaptive leakage factor scheme for enhancement of a variable tap-length learning algorithm. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Pasquale Malacaria, Han Chen Lagrange multipliers and maximum information leakage in different observational models. Search on Bibsonomy PLAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF security, information theory, lagrange multipliers
27Hushrav Mogal, Kia Bazargan Thermal-aware floorplanning for task migration enabled active sub-threshold leakage reduction. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ieng-Fat Lam, Kuan-Ta Chen, Ling-Jyh Chen Involuntary Information Leakage in Social Network Services. Search on Bibsonomy IWSEC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Weixiang Shen, Yici Cai, Xianlong Hong Leakage power optimization for clock network using dual-Vth technology. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Saraju P. Mohanty ILP Based Gate Leakage Optimization Using DKCMOS Library during RTL Synthesis. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Tao Li, Zhiping Yu Full-Chip Leakage Verification for Manufacturing Considering Process Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Sherif A. Tawfik, Volkan Kursun Compact FinFET Memory Circuits with P-Type Data Access Transistors for Low Leakage and Robust Operation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Akhilesh Kumar, Mohab Anis Dual-Threshold CAD Framework for Subthreshold Leakage Power Aware FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Juan M. Cebrian, Juan L. Aragón, José M. García 0001 Leakage Energy Reduction in Value Predictors through Static Decay. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Kiyofumi Tanaka, Takenori Fujita Leakage Energy Reduction in Cache Memory by Software Self-invalidation. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Mrinmoy Ghosh, Hsien-Hsin S. Lee Virtual Exclusion: An architectural approach to reducing leakage energy in caches for multiprocessor systems. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Kazuhisa Suzuki, Koichi Mouri, Eiji Okubo Salvia : A Privacy-Aware Operating System for Prevention of Data Leakage. Search on Bibsonomy IWSEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Zhiyu Liu, Volkan Kursun High Read Stability and Low Leakage Cache Memory Cell. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Lin Yuan, Gang Qu 0001 ALT-DVS: Dynamic Voltage Scaling with Awareness of Leakage and Temperature for Real-Time Systems. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Rouwaida Kanj, Rajiv V. Joshi, Jayakumaran Sivagnaname, Jente B. Kuang, Dhruva Acharyya, Tuyet Nguyen, Chandler McDowell, Sani R. Nassif Gate Leakage Effects on Yield and Design Considerations of PD/SOI SRAM Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Sayeed A. Badrudduza, Giby Samson, Lawrence T. Clark LCSRAM: A Leakage Controlled Six-transistor Static Random Access Memory Cell with Intrinsically High Read Stability. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jie Gu 0003, Sachin S. Sapatnekar, Chris H. Kim Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Sagar S. Sabade, D. M. H. Walker Estimation of fault-free leakage current using wafer-level spatial information. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang, Kaushik Roy 0001 Modeling and Analysis of Leakage Currents in Double-Gate Technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Hui Xiong 0001, Michael S. Steinbach, Vipin Kumar 0001 Privacy leakage in multi-relational databases: a semi-supervised learning perspective. Search on Bibsonomy VLDB J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Houman Homayoun, Amirali Baniasadi Reducing Execution Unit Leakage Power in Embedded Processors. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ji-Yong Jeong, Gil-Su Kim, Jong-Pil Son, Woo-Jin Rim, Soo-Won Kim Body Bias Generator for Leakage Power Reduction of Low-Voltage Digital Logic Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Pepijn J. de Langen, Ben H. H. Juurlink Leakage-aware multiprocessor scheduling for low power. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Lian Li 0002, Jingling Xue Trace-Based Data Cache Leakage Reduction at Link Time. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Jung Hwan Choi, Aditya Bansal, Mesut Meterelliyoz, Jayathi Murthy, Kaushik Roy 0001 Leakage power dependent temperature estimation to predict thermal runaway in FinFET circuits. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Fabio Frustaci, Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo Leakage energy reduction techniques in deep submicron cache memories: a comparative study. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27G. Razavipour, A. Motamedi, Ali Afzali-Kusha WL-VC SRAM: a low leakage memory circuit for deep sub-micron design. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Behnam Amelifard, Massoud Pedram, Farzan Fallah Low-leakage SRAM Design with Dual V_t Transistors. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Saraju P. Mohanty, Elias Kougianos Modeling and Reduction of Gate Leakage during Behavioral Synthesis of NanoCMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Chuen M. Tan, Masud H. Chowdhury Simultaneous Analysis of Capacitive Coupling and Leakage Noise in Nanometer Scale Circuits. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Po-Kuan Huang, Soheil Ghiasi Leakage-aware intraprogram voltage scaling for embedded processors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Vishal Khandelwal, Azadeh Davoodi, Ankur Srivastava 0001 Simultaneous Vt selection and assignment for leakage optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Man Lung Mui, Kaustav Banerjee, Amit Mehrotra Supply and power optimization in leakage-dominant technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Hanane Fathi, SeongHan Shin, Kazukuni Kobara, Shyam S. Chakraborty, Hideki Imai, Ramjee Prasad Leakage-resilient security architecture for mobile IPv6 in wireless overlay networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Somsubhra Mondal, Seda Ogrenci Memik, Debasish Das Hierarchical LUT structures for leakage power reduction (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yuh-Fang Tsai, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin Leakage-Aware Interconnect for On-Chip Network. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Robert Bai, Nam Sung Kim, Taeho Kgil, Dennis Sylvester, Trevor N. Mudge Power-Performance Trade-Offs in Nanometer-Scale Multi-Level Caches Considering Total Leakage. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Suresh Srinivasan, Aman Gayasen, Narayanan Vijaykrishnan, Tim Tuan Leakage control in FPGA routing fabric. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Zhangliang Xiong, Xiangquan Shi Adaptive Leakage Suppression Based on Recurrent Wavelet Neural Network. Search on Bibsonomy ICNC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Maryam Ashouei, Abhijit Chatterjee, Adit D. Singh, Vivek De A Dual-Vt Layout Approach for Statistical Leakage Variability Minimization in Nanometer CMOS. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yongzhi Liu, Qiyue Zou, Zhiping Lin 0001 Generalized sidelobe cancellers with leakage constraints. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Saibal Mukhopadhyay, Keunwoo Kim, Jae-Joon Kim, Shih-Hsien Lo, Rajiv V. Joshi, Ching-Te Chuang, Kaushik Roy 0001 Modeling and Analysis of Gate Leakage in Ultra-thin Oxide Sub-50nm Double Gate Devices and Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Frank Sill, Frank Grassert, Dirk Timmermann Reducing Leakage with Mixed-V_th (MVT). Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yu-Shiang Lin, Dennis Sylvester A New Asymmetric Skewed Buffer Design for Runtime Leakage Power Reduction. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Swarup Bhunia, Nilanjan Banerjee, Qikai Chen, Hamid Mahmoodi-Meimand, Kaushik Roy 0001 A novel synthesis approach for active leakage power reduction using dynamic supply gating. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Carlo Dallavalle Adaptive Subthreshold Leakage Reduction Through N/P Wells Reverse Biasing. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Chandramouli Gopalakrishnan, Srinivas Katkoori Tabu Search Based Behavioral Synthesis of Low Leakage Datapaths. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Yingmin Li, Dharmesh Parikh, Yan Zhang 0028, Karthik Sankaranarayanan, Mircea R. Stan, Kevin Skadron State-Preserving vs. Non-State-Preserving Leakage Control in Caches. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Mahmut T. Kandemir, Mary Jane Irwin, Guilin Chen, Ibrahim Kolcu Banked scratch-pad memory management for reducing leakage energy consumption. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Vishal Khandelwal, Ankur Srivastava 0001 Leakage control through fine-grained placement and sizing of sleep transistors. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Michael Liu, Wei-Shen Wang, Michael Orshansky Leakage power reduction by dual-vth designs under probabilistic analysis of vth variation. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF variability, yield, power minimization
27Saumil Shah, Kanak Agarwal, Dennis Sylvester A New Threshold Voltage Assignment Scheme for Runtime Leakage Reduction in On-Chip Repeaters. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Feng Gao 0017, John P. Hayes Gate Sizing and V{t} Assignment for Active-Mode Leakage Power Reduction. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27M. DeRenzo, Mary Jane Irwin, Narayanan Vijaykrishnan Designing Leakage Aware Multipliers. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy 0001 Modeling and Estimation of Leakage in Sub-90nm Devices. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Chandramouli Gopalakrishnan, Srinivas Katkoori KnapBind: An Area-Efficient Binding Algorithm for Low-leakage Datapaths. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Jader A. De Lima An active leakage-injection scheme applied to low-voltage SRAMs. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Dongwoo Lee, David T. Blaauw Static leakage reduction through simultaneous threshold voltage and state assignment. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Lin Li 0002, Ismail Kadayif, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Anand Sivasubramaniam Leakage Energy Management in Cache Hierarchies. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Chris H. Kim, Kaushik Roy 0001 Dynamic VTH Scaling Scheme for Active Leakage Power Reduction. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Weiping Liao, Joseph M. Basile, Lei He 0001 Leakage power modeling and reduction with data retention. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Zhigang Hu, Philo Juang, Kevin Skadron, Douglas W. Clark, Margaret Martonosi Applying Decay Strategies to Branch Predictors for Leakage Energy Savings. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27David Duarte, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mary Jane Irwin Evaluating Run-Time Techniques for Leakage Power Reduction. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Krisztián Flautner, Nam Sung Kim, Steven M. Martin, David T. Blaauw, Trevor N. Mudge Drowsy Caches: Simple Techniques for Reducing Leakage Power. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Mohab Anis, Mohamed Mahmoud, Mohamed I. Elmasry, Shawki Areibi Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Ali Keshavarzi, Kaushik Roy 0001, Charles F. Hawkins Intrinsic leakage in deep submicron CMOS ICs-measurement-based test solutions. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 7472 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license