|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 24254 occurrences of 8555 keywords
|
|
|
Results
Found 40310 publication records. Showing 40310 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
13 | Choon Seong Leem, Nam Joo Jeon, Jong Hwa Choi, Hyoun Gyu Shin |
A Business Model (BM) Development Methodology in Ubiquitous Computing Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (4) ![In: Computational Science and Its Applications - ICCSA 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part IV, pp. 86-95, 2005, Springer, 3-540-25863-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Charles H.-P. Wen, Li-C. Wang, Kwang-Ting Cheng, Kai Yang, Wei-Ting Liu, Ji-Jan Chen |
On A Software-Based Self-Test Methodology and Its Application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 23rd IEEE VLSI Test Symposium (VTS 2005), 1-5 May 2005, Palm Springs, CA, USA, pp. 107-113, 2005, IEEE Computer Society, 0-7695-2314-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Anna E. Bobkowska |
A Methodology of Visual Modeling Language Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOFSEM ![In: SOFSEM 2005: Theory and Practice of Computer Science, 31st Conference on Current Trends in Theory and Practice of Computer Science, Liptovský Ján, Slovakia, January 22-28, 2005, Proceedings, pp. 72-81, 2005, Springer, 3-540-24302-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | V. Kheterpal, Vyacheslav Rovner, T. G. Hersan, D. Motiani, Y. Takegawa, Andrzej J. Strojwas, Lawrence T. Pileggi |
Design methodology for IC manufacturability based on regular logic-bricks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 353-358, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
regularity, manufacturability, integrated circuits, RET |
13 | Brian Marick |
Methodology work is ontology work. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 39(12), pp. 64-72, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Eva González-Parada, José Manuel Cano-García, Antonio Díaz Estrella |
A new methodology for representation of TCP performance in multiconnection environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Commun. Rev. ![In: Comput. Commun. Rev. 35(1), pp. 99-110, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Tim Kogel, Malte Doerper, Torsten Kempf, Andreas Wieferink, Rainer Leupers, Gerd Ascheid, Heinrich Meyr |
Virtual Architecture Mapping: A SystemC Based Methodology for Architectural Exploration of System-on-Chip Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Computer Systems: Architectures, Modeling, and Simulation, Third and Fourth International Workshops, SAMOS 2003 and SAMOS 2004, Samos, Greece, July 21-23, 2003 and July 19-21, 2004, Proceedings, pp. 138-148, 2004, Springer, 3-540-22377-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Anastasius Gavras, Mariano Belaunde, Luís Ferreira Pires, João Paulo A. Almeida |
Towards an MDA-Based Development Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWSA ![In: Software Architecture, First European Workshop, EWSA 2004, St Andrews, UK, May 21-22, 2004, Proceedings, pp. 230-240, 2004, Springer, 3-540-22000-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Konstantinos Kotis, George A. Vouros, Jerónimo Padilla Alonso |
HCOME: A Tool-Supported Methodology for Engineering Living Ontologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SWDB ![In: Semantic Web and Databases, Second International Workshop, SWDB 2004, Toronto, Canada, August 29-30, 2004, Revised Selected Papers, pp. 155-166, 2004, 3-540-24576-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Vikas Chandra, Anthony Xu, Herman Schmit, Lawrence T. Pileggi |
An Interconnect Channel Design Methodology for High Performance Integrated Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 1138-1143, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Ibrahim M. Elfadel, Alina Deutsch, Gerard V. Kopcsay, Bradley Rubin, Howard H. Smith |
A CAD Methodology and Tool for the Characterization of Wide On-Chip Buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 144-149, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Rajeev Murgai, Subodh M. Reddy, Takashi Miyoshi, Takeshi Horie, Mehdi Baradaran Tahoori |
Sensitivity-Based Modeling and Methodology for Full-Chip Substrate Noise Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 610-615, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Nico Bannow, Karsten Haug |
Evaluation of an Object-Oriented Hardware Design Methodology for Automotive Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 268-273, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Andreas Wieferink, Tim Kogel, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Gunnar Braun, Achim Nohl |
A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 1256-1263, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Daniel Karlsson, Petru Eles, Zebo Peng |
A Formal Verification Methodology for IP-based Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August - 3 September 2004, Rennes, France, pp. 372-379, 2004, IEEE Computer Society, 0-7695-2203-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Giuseppe De Nicola, Pasquale di Tommaso, Rosaria Esposito, Francesco Flammini, Antonio Orazzo |
A Hybrid Testing Methodology for Railway Control Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAFECOMP ![In: Computer Safety, Reliability, and Security, 23rd International Conference, SAFECOMP 2004, Potsdam, Germany, September 21-24, 2004, Proceedings, pp. 116-129, 2004, Springer, 3-540-23176-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Noriyuki Miura, Naoki Kato, Tadahiro Kuroda |
Practical methodology of post-layout gate sizing for 15% more power saving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 434-437, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Chih-Haur Huang, Kuen-Jong Lee, Soon-Jyh Chang |
A Low-Cost Diagnosis Methodology for Pipelined A/D Converters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 13th Asian Test Symposium (ATS 2004), 15-17 November 2004, Kenting, Taiwan, pp. 296-301, 2004, IEEE Computer Society, 0-7695-2235-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Jawad Khan, Ranga Vemuri |
An Efficient Battery-Aware Task Scheduling Methodology for Portable RC Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field Programmable Logic and Application, 14th International Conference , FPL 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings, pp. 669-678, 2004, Springer, 3-540-22989-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | N. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk |
A Structured Methodology for System-on-an-FPGA Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field Programmable Logic and Application, 14th International Conference , FPL 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings, pp. 1047-1051, 2004, Springer, 3-540-22989-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Jingzhao Ou, Viktor K. Prasanna |
A Methodology for Energy Efficient FPGA Designs Using Malleable Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field Programmable Logic and Application, 14th International Conference , FPL 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings, pp. 729-739, 2004, Springer, 3-540-22989-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Frank Hannig, Hritam Dutta, Jürgen Teich |
Mapping of Regular Nested Loop Programs to Coarse-Grained Reconfigurable Arrays - Constraints and Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Zachary K. Baker, Viktor K. Prasanna |
A Methodology for Synthesis of Efficient Intrusion Detection Systems on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 20-23 April 2004, Napa, CA, USA, Proceedings, pp. 135-144, 2004, IEEE Computer Society, 0-7695-2230-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | María Engracia Gómez, José Flich, Pedro López 0001, Antonio Robles, José Duato, Nils Agne Nordbotten, Olav Lysne, Tor Skeie |
An Effective Fault-Tolerant Routing Methodology for Direct Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 33rd International Conference on Parallel Processing (ICPP 2004), 15-18 August 2004, Montreal, Quebec, Canada, pp. 222-231, 2004, IEEE Computer Society, 0-7695-2197-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Voravud Santiraveewan, Yongyuth Permpoontanalarp |
A Graph-based Methodology for Analyzing IP Spoofing Attack. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA (2) ![In: 18th International Conference on Advanced Information Networking and Applications (AINA 2004), 29-31 March 2004, Fukuoka, Japan, pp. 227-231, 2004, IEEE Computer Society, 0-7695-2051-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
IP spoofing and Formal Method for Network Security, Network Security, Firewalls |
13 | Trung H. Bui, Martin Rajman, Miroslav Melichar |
Rapid Dialogue Prototyping Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TSD ![In: Text, Speech and Dialogue, 7th International Conference, TSD 2004, Brno, Czech Republic, September 8-11, 2004, Proceedings, pp. 579-586, 2004, Springer, 3-540-23049-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Ioannis A. Vetsikas, Bart Selman |
A Methodology and Equilibria for the Design Tradeoffs of Autonomous Trading Agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: 3rd International Joint Conference on Autonomous Agents and Multiagent Systems (AAMAS 2004), 19-23 August 2004, New York, NY, USA, pp. 1286-1287, 2004, IEEE Computer Society, 1-58113-864-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Francis Eng Hock Tay, Jinxiang Gu |
A methodology for evolutionary product design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Eng. Comput. ![In: Eng. Comput. 19(2-3), pp. 160-173, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Function-form mapping, Product Information management, Function, Evolutionary design, Product family, Form |
13 | Cesare Alippi, Andrea Galbusera, Marco Stellini |
An application-level synthesis methodology for multidimensional embedded processing systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(11), pp. 1457-1470, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Yonghee Im, Kaushik Roy 0001 |
LALM: A Logic-Aware Layout Methodology to Enhance the Noise Immunity of Domino Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), New Trends and Technologies for VLSI Systems Design, 20-21 February 2003, Tampa, FL, USA, pp. 45-54, 2003, IEEE Computer Society, 0-7695-1904-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Osvaldo Cairó, Julio César Alvarez |
The KAMET II Methodology: A Modern Approach for Building Diagnosis-Specialized Knowledge-Based Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMIS ![In: Foundations of Intelligent Systems, 14th International Symposium, ISMIS 2003, Maebashi City, Japan, October 28-31, 2003, Proceedings, pp. 652-656, 2003, Springer, 3-540-20256-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | François-Xavier Standaert, Gaël Rouvroy, Jean-Jacques Quisquater, Jean-Didier Legat |
A methodology to implement block ciphers in reconfigurable hardware and its application to fast and compact AES RIJNDAEL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2003, Monterey, CA, USA, February 23-25, 2003, pp. 216-224, 2003, ACM, 1-58113-651-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
AES RIJNDAEL, high encryption rates, FPGA, cryptography, reconfigurable hardware |
13 | Wai Hong Ho, Timothy Mark Pinkston |
A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003, pp. 377-388, 2003, IEEE Computer Society, 0-7695-1871-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Low-Contention Communication, Network Partitioning, Communication Model, On-chip Interconnects, Irregular Topology |
13 | Andrew Burton-Jones, Veda C. Storey, Vijayan Sugumaran, Sandeep Purao |
A Heuristic-Based Methodology for Semantic Augmentation of User Queries on the Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ER ![In: Conceptual Modeling - ER 2003, 22nd International Conference on Conceptual Modeling, Chicago, IL, USA, October 13-16, 2003, Proceedings, pp. 476-489, 2003, Springer, 3-540-20299-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Junho Shim, Seungjin Lee 0002, Chisu Wu |
A Unified Approach for Software Policy Modeling: Incorporating Implementation into a Modeling Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ER ![In: Conceptual Modeling - ER 2003, 22nd International Conference on Conceptual Modeling, Chicago, IL, USA, October 13-16, 2003, Proceedings, pp. 118-130, 2003, Springer, 3-540-20299-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Mário P. Véstias, Horácio C. Neto |
DALI: A Methodology for the Co-Design of Dataflow Applications on Hardware/Software Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2003, Sao Paulo, Brazil, September 8-11, 2003, pp. 85-, 2003, IEEE Computer Society, 0-7695-2009-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Yiausyu Earl Tsai, Hewijin Christine Jiau, Kuo-Feng Ssu |
Scenario Architecture - A Methodology to Build a Global View of OO Software System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 27th International Computer Software and Applications Conference (COMPSAC 2003): Design and Assessment of Trustworthy Software-Based Systems, 3-6 November 2003, Dallas, TX, USA, Proceedings, pp. 446-451, 2003, IEEE Computer Society, 0-7695-2020-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Dickson K. W. Chiu, Shing-Chi Cheung, Ho-fung Leung |
A Three-Tier View-Based Methodology for Adapting Human-Agent Collaboration Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAiSE ![In: Advanced Information Systems Engineering, 15th International Conference, CAiSE 2003, Klagenfurt, Austria, June 16-18, 2003, Proceedings, pp. 226-241, 2003, Springer, 3-540-40442-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Flor A. Castillo, Ken A. Marshall, James L. Green, Arthur K. Kordon |
A Methodology for Combining Symbolic Regression and Design of Experiments to Improve Empirical Model Building. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation - GECCO 2003, Genetic and Evolutionary Computation Conference, Chicago, IL, USA, July 12-16, 2003. Proceedings, Part II, pp. 1975-1985, 2003, Springer, 3-540-40603-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | José María Gomis, Margarita Valor, Francisco Albert, Manuel Contero |
Intregated System and Methodology for Supporting Textile and Tile Pattern Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Smart Graphics ![In: Smart Graphics, Third International Symposium, SG 2003, Heidelberg, Germany, July 2-4, 2003, Proceedings, pp. 69-78, 2003, Springer, 3-540-40557-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Ludovic Tambour, Nacer-Eddine Zergainoh, Pascal Urard, Henri Michel, Ahmed Amine Jerraya |
An Efficient Methodology and Semi-Automated Flow for Design and Validation of Complex Digital Signal Processing ASICS Macro-Cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE International Workshop on Rapid System Prototyping ![In: 14th IEEE International Workshop on Rapid System Prototyping (RSP 2003), 9-11 June 2003, San Diego, CA, USA, pp. 56-63, 2003, IEEE Computer Society, 0-7695-1943-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Mun-Young Choi, JongSeon Lim, Kyung-Soo Joo |
Developing a Unified Design Methodology Based on Extended Entity-Relationship Model for XML. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science ![In: Computational Science - ICCS 2003, International Conference, Melbourne, Australia and St. Petersburg, Russia, June 2-4, 2003. Proceedings, Part IV, pp. 920-929, 2003, Springer, 3-540-40197-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Nikhil Jayakumar, Sunil P. Khatri |
An ASIC design methodology with predictably low leakage, using leakage-immune standard cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 128-133, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
standby current, leakage current, standard cells, MTCMOS |
13 | Yonghee Im, Kaushik Roy 0001 |
A logic-aware layout methodology to enhance the noise immunity of domino circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 637-640, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Eric Sanchis |
Designing new Agent Based Applications Architectures with the AGP Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WETICE ![In: 12th IEEE International Workshops on Enabling Technologies (WETICE 2003), Infrastructure for Collaborative Enterprises, 9-11 June 2003, Linz, Austria, pp. 395-400, 2003, IEEE Computer Society, 0-7695-1963-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Azeddien M. Sllame |
Design Space Exploration Methodology for High-Performance System-on-a-Chip Hardware Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOC ![In: Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'03), 30 June - 2 July 2003, Calgary, Alberta, Canada, pp. 216-221, 2003, IEEE Computer Society, 0-7695-1944-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | David Goren, Michael Zelikson, Rachel Gordin, Israel A. Wagner, Anastasia Barger, Alon Amir, Betty Livshitz, Anatoly Sherman, Youri Tretiakov, Robert A. Groves, J. Park, Donald L. Jordan, Sue E. Strang, Raminderpal Singh, Carl E. Dickey, David L. Harame |
On-chip interconnect-aware design and modeling methodology, based on high bandwidth transmission line devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 724-727, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
modeling, interconnect, vlsi |
13 | Sabyasachi Das, Sunil P. Khatri |
An efficient and regular routing methodology for datapath designsusing net regularity extraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(1), pp. 93-101, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Clement T. Yu, King-Lup Liu, Weiyi Meng, Zonghuan Wu, Naphtali Rishe |
A Methodology to Retrieve Text Documents from Multiple Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 14(6), pp. 1347-1361, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
resource discovery, Distributed information retrieval, metasearch, database selection |
13 | Hitoshi Nagasaki, Motoei Azuma |
A Methodology for Assessing User's Skill Grade to Implement Adaptive User Interface Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE ICCI ![In: Proceedings of the 1st IEEE International Conference on Cognitive Informatics (ICCI 2002), 19-20 August 2002, Calgary, Canada, pp. 280-287, 2002, IEEE Computer Society, 0-7695-1724-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Vijay Murthi, David Levine, Behrooz A. Shirazi, Jeff Marquis |
A Tool Based Methodology for Development of Automatically Scalable and Reusable Parallel Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 10th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2002), 11-16 October 2002, Fort Worth, Texas, USA, pp. 341-346, 2002, IEEE Computer Society, 0-7695-1840-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian |
Effective Software Self-Test Methodology for Processor Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 592-597, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Antonio J. Ginés, Eduardo J. Peralías, Adoración Rueda, Ralf Seepold, Natividad Martínez Madrid |
A Mixed-Signal Design Reuse Methodology Based on Parametric Behavioural Models with Non-Ideal Effects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 310-314, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Chanwit Kaewkasi, Wanchai Rivepiboon |
WWM: A Practical Methodology for Web Application Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 26th International Computer Software and Applications Conference (COMPSAC 2002), Prolonging Software Life: Development and Redevelopment, 26-29 August 2002, Oxford, England, Proceedings, pp. 603-608, 2002, IEEE Computer Society, 0-7695-1727-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Dick Mays, Richard J. LeBlanc |
The cyclefree methodology: a simple approach to building reliable, robust, real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: Proceedings of the 24th International Conference on Software Engineering, ICSE 2002, 19-25 May 2002, Orlando, Florida, USA, pp. 567-575, 2002, ACM. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
13 | Tom L. Roberts Jr., Michael L. Gibson, R. Kelly Rainer Jr., Kent T. Fields |
Response to 'Comments on Factors that Impact the Implementation of a Systems Development Methodology'. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 27(3), pp. 282-286, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
13 | Vincenzo Galdi, Lucio Ippolito, Antonio Piccolo, Alfredo Vaccaro |
A genetic-based methodology for hybrid electric vehicles sizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 5(6), pp. 451-457, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Hybrid electric vehicles, Powertrain sizing, Genetic optimisation, Genetic algorithms |
13 | Huo Yan Chen, T. H. Tse, Tsong Yueh Chen |
TACCLE: a methodology for object-oriented software testing at the class and cluster levels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Softw. Eng. Methodol. ![In: ACM Trans. Softw. Eng. Methodol. 10(1), pp. 56-109, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
contact specifications, software testing, object-oriented programming, message passing, algebraic specifications |
13 | Paolo Atzeni, Paolo Merialdo, Giuseppe Sindoni |
Web Site Evaluation: Methodology and Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ER (Workshops) ![In: ER 2001 Workshops, HUMACS, DASWIS, ECOMO, and DAMA, Yokohama Japan, November 27-30, 2001, Revised Papers, pp. 253-263, 2001, Springer, 3-540-44122-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
13 | Gregor Engels, Jochen Malte Küster, Reiko Heckel, Luuk Groenewegen |
A methodology for specifying and analyzing consistency of object-oriented behavioral models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC / SIGSOFT FSE ![In: Proceedings of the 8th European Software Engineering Conference held jointly with 9th ACM SIGSOFT International Symposium on Foundations of Software Engineering 2001, Vienna, Austria, September 10-14, 2001, pp. 186-195, 2001, ACM, 978-1-58113-390-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
behavioral consistency, UML, UML, CSP, object-oriented modeling |
13 | Milan Vasilko, Lukas Machácek, Marek Matej, Piotr Stepien, Steve Holloway |
A Rapid Prototyping Methodology and Platform for Seamless Communication Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE International Workshop on Rapid System Prototyping ![In: 12th IEEE International Workshop on Rapid System Prototyping (RSP 2001), 25-27 June 2001, Monterey, CA, USA, pp. 70-76, 2001, IEEE Computer Society, 0-7695-1206-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
13 | Nuno F. Paulino, João Goes, Adolfo Steiger-Garção |
Design methodology for optimization of analog building blocks using genetic algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 435-438, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
13 | Sultan M. Al-Harbi, Sandeep K. Gupta 0001 |
An Efficient Methodology for Generating Optimal and Uniform March Tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA, USA, pp. 231-239, 2001, IEEE Computer Society, 0-7695-1122-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
13 | Min-Hsuan Fan, Chua-Huang Huang, Yeh-Ching Chung, Jen-Shiuh Liu, Jei-Zhii Lee |
A Programming Methodology for Designing Parallel Prefix Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 2001 International Conference on Parallel Processing, ICPP 2002, 3-7 September 2001, Valencia, Spain, pp. 463-470, 2001, IEEE Computer Society, 0-7695-1257-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
13 | Yongyuth Permpoontanalarp, Chaiwat Rujimethabhas |
A Unified Methodology for Verification and Synthesis of Firewall Configurations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICICS ![In: Information and Communications Security, Third International Conference, ICICS 2001, Xian, China, November 13-16, 2001, pp. 328-339, 2001, Springer, 3-540-42880-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
13 | Hans A. R. Manhaeve, Johan Verfaillie, B. Straka, J. P. Cornil |
Application of Supply Current Testing to Analogue Circuits, Towards a Structural Analogue Test Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 16(3), pp. 227-234, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
supply current test, I DD, I DDX monitor, analog test, structural test, mixed-signal test, current monitor |
13 | Noppanunt Utamaphethai, R. D. (Shawn) Blanton, John Paul Shen |
A Buffer-Oriented Methodology for Microarchitecture Validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 16(1-2), pp. 49-65, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
processor validation, superscalar microarchitecture, design validation |
13 | Giovanna Di Marzo Serugendo |
A Formal Development and Validation Methodology Applied to Agent-Based Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Agents Workshop on Infrastructure for Multi-Agent Systems ![In: Infrastructure for Agents, Multi-Agent Systems, and Scalable Multi-Agent Systems, International Workshop on Infrastructure for Multi-Agent Systems, Barcelona, Spain, June 3-7, 2000, Revised Papers, pp. 214-225, 2000, Springer, 3-540-42315-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | José Carlos Sancho, Antonio Robles, José Duato |
A New Methodology to Computer Deadlock-Free Routing Tables for Irregular Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CANPC ![In: Network-Based Parallel Computing: Communication, Architecture, and Applications, 4th International Workshop, CANPC 2000, Toulouse, France, January 8, 2000, Proceedings, pp. 45-60, 2000, Springer, 3-540-67879-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | Yin-Chao Huang, Chung-Len Lee 0001, Jun-Weir Lin, Jwu E. Chen, Chauchin Su |
A methodology for fault model development for hierarchical linear systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 90-95, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
closed loop systems, hierarchical linear systems, transfer function model, open-loop, element faults, benchmark state-variable filter, AC fault model, state variable filter, fault diagnosis, fault model, fault simulation, modules, Monte Carlo methods, Monte Carlo simulation, transfer functions, computation time, operational amplifiers, operational amplifiers, closed loop, analogue circuits |
13 | Michel Renovell |
A Specific Test Methodology for Symmetric SRAM-Based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field-Programmable Logic and Applications, The Roadmap to Reconfigurable Computing, 10th International Workshop, FPL 2000, Villach, Austria, August 27-30, 2000, Proceedings, pp. 300-311, 2000, Springer, 3-540-67899-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | H. Gonda Neddermeijer, Gerrit J. van Oortmarssen, Nanda Piersma, Rommert Dekker |
A framework for Response Surface Methodology for simulation optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 32nd conference on Winter simulation, WSC 2000, Wyndham Palace Resort & Spa, Orlando, FL, USA, December 10-13, 2000, pp. 129-136, 2000, WSC, 0-7803-6582-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | Moritoshi Yasunaga, Taro Nakamura, Ikuo Yoshihara, Jung Hwan Kim |
Genetic Algorithm-Based Methodology for Pattern Recognition Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICES ![In: Evolvable Systems: From Biology to Hardware, Third International Conference, ICES 2000, Edinburgh, Scotland, UK, April 17-19, 2000, Proceedings, pp. 264-273, 2000, Springer, 3-540-67338-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | Michael Cogswell, Don Pearl, James Sage, Alan Troidl |
An Automatic Validation Methodology for Logic BIST in High Performance VLSI Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000, pp. 473-478, 2000, IEEE Computer Society, 0-7695-0801-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | Mely Chen Chi, Shih-Hsu Huang |
A Reliable Clock Tree Design Methodology for ASIC Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 1st International Symposium on Quality of Electronic Design (ISQED 2000), 20-22 March 2000, San Jose, CA, USA, pp. 269-274, 2000, IEEE Computer Society, 0-7695-0525-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Clock tree design, Clock tree synthesis |
13 | Mark D. Aagaard, Robert B. Jones, Thomas F. Melham, John W. O'Leary, Carl-Johan H. Seger |
A Methodology for Large-Scale Hardware Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, Third International Conference, FMCAD 2000, Austin, Texas, USA, November 1-3, 2000, Proceedings, pp. 263-282, 2000, Springer, 3-540-41219-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | Moritoshi Yasunaga, Taro Nakamura, Jung Hwan Kim, Ikuo Yoshihara |
Kernel-Based Pattern Recognition Hardware: Its Design Methodology Using Evolved Truth Tables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Evolvable Hardware ![In: 2nd NASA / DoD Workshop on Evolvable Hardware (EH 2000), 13-15 July 2000, Palo Alto, CA, USA, pp. 253-262, 2000, IEEE Computer Society, 0-7695-0762-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | Arun N. Lokanathan, Jay B. Brockman |
A methodology for concurrent process-circuit optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(7), pp. 889-902, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
13 | Tapas Kanungo, Robert M. Haralick |
An Automatic Closed-Loop Methodology for Generating Character Groundtruth for Scanned Documents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 21(2), pp. 179-183, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Automatic real groundtruth, performance evaluation, OCR, image registration, document image analysis, image warping, geometric transformations |
13 | Bhaskar D. Rao, Kenneth Kreutz-Delgado |
An affine scaling methodology for best basis selection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 47(1), pp. 187-200, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
13 | Luciana Bordoni, Attilio Colagrossi |
A Multimedia Personalized Fruition of Figurative Artistic Heritage by a GIS-Based Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMCS, Vol. 2 ![In: IEEE International Conference on Multimedia Computing and Systems, ICMCS 1999, Florence, Italy, June 7-11, 1999. Volume II, pp. 184-188, 1999, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Cultural heritage Multimedia application, Multimedia software engineering tools, Art and Multimedia |
13 | Joseph C. Bernier, Gregg D. Croft, W. R. Young |
A process independent ESD design methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 218-221, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
13 | Kenneth Francken, Georges G. E. Gielen |
Methodology for analog technology porting including performance tuning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 415-418, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
13 | Cristiana Bolchini, Luigi Pomante, Donatella Sciuto, Fabio Salice |
A Synthesis Methodology Aimed at Improving the Quality of TSC Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), November 1-3, 1999, Albuquerque, NM, USA, Proceedings, pp. 247-255, 1999, IEEE Computer Society, 0-7695-0325-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
observability, logic synthesis, totally self-checking circuits |
13 | Sven Wuytack, Jean-Philippe Diguet, Francky Catthoor, Hugo De Man |
Formalized methodology for data reuse: exploration for low-power hierarchical memory mappings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 6(4), pp. 529-537, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
13 | Ali Dasdan, Dinesh Ramanathan, Rajesh K. Gupta 0001 |
A timing-driven design and validation methodology for embedded real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 3(4), pp. 533-553, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
period assignment, period derivation, rate assignment, rate derivation, timing-driven codesign, requirements analysis, timing analysis, system-level design, performance verification |
13 | Ferdinando Lucidi, Hessel P. Idzenga, Spyrogiannis Batistatos |
Development of TINA-Like Systems: The DOLEMEN Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IS&N ![In: Intelligence in Services and Networks: Technology for Ubiquitous Telecom Services, 5th International Conference on Intelligence and Services in Networks, IS&N'98, Antwerp, Belgium, May 25-28, 1998, Proceedings, pp. 379-392, 1998, Springer, 3-540-64598-5. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
13 | Heeseok Lee, Choongseok Lee, Cheonsoo Yoo |
A Scenario-Based Object-Oriented Methodology for Developing Hypermedia Information Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (2) ![In: Thirty-First Annual Hawaii International Conference on System Sciences, Kohala Coast, Hawaii, USA, January 6-9, 1998, pp. 47-, 1998, IEEE Computer Society, 0-8186-8255-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
13 | Prab Varma, Sandeep Bhatia |
A structured test re-use methodology for core-based system chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1998, Washington, DC, USA, October 18-22, 1998, pp. 294-302, 1998, IEEE Computer Society, 0-7803-5093-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
13 | Yuan-Chieh Hsu, Sandeep K. Gupta 0001 |
A new path-oriented effect-cause methodology to diagnose delay failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1998, Washington, DC, USA, October 18-22, 1998, pp. 758-767, 1998, IEEE Computer Society, 0-7803-5093-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
13 | Makoto Sugihara, Hiroshi Date, Hiroto Yasuura |
A novel test methodology for core-based system LSIs and a testing time minimization problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1998, Washington, DC, USA, October 18-22, 1998, pp. 465-472, 1998, IEEE Computer Society, 0-7803-5093-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
13 | Raanan Grinwald, Eran Harel, Michael Orgad, Shmuel Ur, Avi Ziv |
User Defined Coverage - A Tool Supported Methodology for Design Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998., pp. 158-163, 1998, ACM Press, 0-89791-964-5. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
high-level synthesis, telecommunication |
13 | Samit Chaudhuri, S. A. Blthye, Robert A. Walker 0001 |
A solution methodology for exact design space exploration in a three-dimensional design space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 5(1), pp. 69-81, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
13 | Larry Scanlan, Wing Lee, Mike Vahey, Mike McCollough |
RASSP Methodology Evaluation and Lessons Learned Developing IRST Signal Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 15(1-2), pp. 145-160, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
13 | Francky Leyn, Walter Daems, Georges G. E. Gielen, Willy M. C. Sansen |
A behavioral signal path modeling methodology for qualitative insight in and efficient sizing of CMOS opamps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 374-381, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
behavioral signal path, incremental modeling, small-signal, sequential design space pruning, minimax optimization |
13 | Robert L. Kelsey, Roger T. Hartley, Robert B. Webster |
An Object-Based Methodology for Knowledge Representation in SGML. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI ![In: 9th International Conference on Tools with Artificial Intelligence, ICTAI '97, Newport Beach, CA, USA, November 3-8, 1997, pp. 304-, 1997, IEEE Computer Society, 0-8186-8203-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
knowledge representation SGML multiple perspectives |
13 | José Luis Neves, Eby G. Friedman |
Design methodology for synthesizing clock distribution networks exploiting nonzero localized clock skew. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 4(2), pp. 286-291, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
13 | Seong-Whan Lee, Dong-June Lee, Hee-Seon Park |
A New Methodology for Gray-Scale Character Segmentation and Recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 18(10), pp. 1045-1050, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Character segmentation and recognition, topographic feature, multistage graph search, recognition-based segmentation, gray-scale character recognition |
13 | Curtis A. Carver, Richard A. Howard, William D. Lane |
A methodology for active, student-controlled learning: motivating our weakest students. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 27th SIGCSE Technical Symposium on Computer Science Education, SIGCSE 1996, Philadelphia, Pennsylvania, USA, February 15-17, 1996, pp. 195-199, 1996, ACM, 0-89791-757-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
13 | Samit Chaudhuri, Stephen A. Blythe, Robert A. Walker 0001 |
An exact methodology for scheduling in a 3D design space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), September 13-15, 1995, Cannes, France, pp. 78-83, 1995, ACM, 0-89791-771-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
2D design space, 3D design space, 3D scheduling problem, Voyager design space exploration system, candidate clock lengths, clock length, globally optimal solution, schedule length, three dimensional scheduling, three-dimensional design space, two dimensional design space, scheduling, optimisation, high level synthesis, search problems, clocks, tight bounds, network synthesis, search space pruning |
|
|