The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for placement with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1974 (19) 1975-1976 (15) 1977-1979 (22) 1980-1981 (16) 1982-1983 (24) 1984 (27) 1985 (26) 1986 (26) 1987 (32) 1988 (59) 1989 (61) 1990 (83) 1991 (70) 1992 (68) 1993 (64) 1994 (105) 1995 (111) 1996 (112) 1997 (139) 1998 (171) 1999 (197) 2000 (234) 2001 (257) 2002 (302) 2003 (397) 2004 (451) 2005 (570) 2006 (603) 2007 (649) 2008 (669) 2009 (551) 2010 (388) 2011 (436) 2012 (449) 2013 (566) 2014 (551) 2015 (643) 2016 (643) 2017 (791) 2018 (838) 2019 (982) 2020 (922) 2021 (962) 2022 (978) 2023 (949) 2024 (223)
Publication types (Num. hits)
article(6401) book(10) data(5) incollection(69) inproceedings(9778) phdthesis(188)
Venues (Conferences, Journals, ...)
CoRR(860) DAC(375) IEEE Trans. Comput. Aided Des....(334) ICCAD(252) IEEE Access(221) ISPD(208) GLOBECOM(171) ICC(167) ASP-DAC(163) DATE(127) Sensors(123) ICRA(109) INFOCOM(102) FPL(101) ACC(94) FPGA(88) More (+10 of total 2867)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5436 occurrences of 2452 keywords

Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Laurence Tianruo Yang Parallel Efficient Implementation of Hierarchical Algorithms for Module Placement of Large Chips. Search on Bibsonomy PARELEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky On wirelength estimations for row-based placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Hsiao-Pin Su, Allen C.-H. Wu, Youn-Long Lin A timing-driven soft-macro placement and resynthesis method in interaction with chip floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Sudip Nag, Kamal Chaudhary Post-Placement Residual-Overlap Removal with Minimal Movement. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Kia Bazargan, Ryan Kastner, Majid Sarrafzadeh 3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF 3-D floorplanning, Reconfigurable computing, floorplanning
26John Marty Emmert, Dinesh Bhatia Fast timing driven placement using tabu search. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Sudip Nag, Rob A. Rutenbar Performance-driven simultaneous placement and routing for FPGA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky On wirelength estimations for row-based placement. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Hiroshi Murata, Ernest S. Kuh Sequence-pair based placement method for hard/soft/pre-placed modules. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Anmol Mathur, C. L. Liu 0001 Timing-driven placement for regular architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Wern-Jieh Sun, Carl Sechen A parallel standard cell placement algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26T. W. Her, Martin D. F. Wong Module implementation selection and its application to transistor placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26John A. Chandy, Sungho Kim, Balkrishna Ramkumar, Steven Parkes, Prithviraj Banerjee An evaluation of parallel simulated annealing strategies with application to standard cell placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez A delay budgeting algorithm ensuring maximum flexibility in placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Youssef Saab An improved linear placement algorithm using node compaction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Hiroyuki Tomiyama, Hiroto Yasuura Size-Constrained Code Placement for Cache Miss Rate Reduction. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Moazzem Hossain, Bala Thumma, Sunil Ashtaputre A New Faster Algorithm for Iterative Placement Improvement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Toru Yukimatsu, Takeshi Furuhashi, Yoshiki Uchikawa A Fuzzy Expert System for Hierarchical Placement of Parts on Printed Circuit Board. Search on Bibsonomy ANNES The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Fuzzy Logic, CAD, Expert System, Printed Circuit Board
26Chih-Liang Eric Cheng RISA: accurate and efficient placement routability modeling. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Wei-Liang Lin, Majid Sarrafzadeh, Chak-Kuen Wong The reproducing placement problem with applications. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Wern-Jieh Sun, Carl Sechen A loosely coupled parallel algorithm for standard cell placement. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Anmol Mathur, C. L. Liu 0001 Compression-relaxation: a new approach to performance driven placement for regular architectures. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Mark Hirsch, Daniel P. Siewiorek The effect of placement of automatically extracted structure. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
26Jürgen M. Kleinhans, Georg Sigl, Frank M. Johannes, Kurt Antreich GORDIAN: VLSI placement by quadratic programming and slicing optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
26M. Razaz, J. Gan Fuzzy set based initial placement for IC layout. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Abhijit Chatterjee, Richard I. Hartley A New Simultaneous Circuit Partitioning and Chip Placement Approach Based on Simulated Annealing. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Teofilo F. Gonzalez, Shashishekhar Kurki-Gowdara An approximation algorithm for the via placement problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Amir Alon, Uri M. Ascher Model and solution strategy for placement of rectangular blocks in the Euclidean plane. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
26Shervin Hojat, Richard Y. Kain On the simplification of a placement problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
26Gregory M. Pisanich, Michael P. Prevost, Steven B. Hall Evaluating the Impact of Camera Placement on Teleoperator Efficiency. Search on Bibsonomy IEA/AIE (Vol. 1) The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
26Carl Sechen, Alberto L. Sangiovanni-Vincentelli TimberWolf3.2: a new standard cell placement and global routing package. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
26Bryan Preas, Patrick G. Karger Automatic placement a review of current techniques (tutorial session). Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
26Lu Sha, Robert W. Dutton An analytical algorithm for placement of arbitrarily sized rectangular blocks. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
24Ming Xu, Gary Gréwal A Graph-Based I/O Pad Pre-placement Technique for Use with Analytic FPGA Placement Methods. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF I/O-Pad Pre-assignment, FPGA, Analytic Placement
24Yufu Zhang, Bing Shi, Ankur Srivastava 0001 A statistical framework for designing on-chip thermal sensing infrastructure in nano-scale systems. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF estimation, statistical, temperature, sensor placement
24Minsik Cho, Haoxing Ren, Hua Xiang 0001, Ruchir Puri History-based VLSI legalization using network flow. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF VLSI, placement, network flow, legalization
24Ping-Hung Yuh, Chia-Lin Yang, Chi-Feng Li, Chung-Hsiang Lin Leakage-aware task scheduling for partially dynamically reconfigurable FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, placement, Reconfigurable computing, leakage, partially dynamical reconfiguration
24Yi Shi 0001, Y. Thomas Hou 0001, Alon Efrat Algorithm design for a class of base station location problems in sensor networks. Search on Bibsonomy Wirel. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Base station placement, Wireless sensor networks, Approximation algorithm, Complexity, Network lifetime, Network capacity
24David A. Papa, Tao Luo 0002, Michael D. Moffitt, Chin Ngai Sze, Zhuo Li 0001, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov RUMBLE: an incremental, timing-driven, physical-synthesis optimization algorithm. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF static timing analysis, timing-driven placement
24Lijuan Qin, Yu-Lan Hu, Ying-Zi Wei, Hong Wang, Yue Zhou Research on Optimum Position for Straight Lines Model. Search on Bibsonomy ICIC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Placement position, Model, Pose estimation, Quantization errors
24Koert Vlaeminck, Tim Wauters, Filip De Turck, Bart Dhoedt, Piet Demeester Towards Transparent Personal Content Storage in Multi-service Access Networks. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Resilience, Distributed Storage, Access Network, Personal Content, Server Placement
24Hailing Yu, Divyakant Agrawal, Amr El Abbadi Exploiting sequential access when declustering data over disks and MEMS-based storage. Search on Bibsonomy Distributed Parallel Databases The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multi-dimensional datasets, Data placement scheme, Distributed algorithms, Range queries, MEMS-based storage
24Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt PARLGRAN: parallelism granularity selection for scheduling task chains on dynamically reconfigurable architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF granularity selection, linear placement, scheduling, data-parallelism, partial dynamic reconfiguration
24Aaron N. Ng, Igor L. Markov, Rajat Aggarwal, Venky Ramachandran Solving hard instances of floorplacement. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplacement, benchmarks, placement, floorplanning, RTL, circuit layout
24Jarrod A. Roy, James F. Lu, Igor L. Markov Seeing the forest and the trees: Steiner wirelength optimization in placemen. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF routing, placement, physical design, Steiner tree
24Priya Sundararajan, Aman Gayasen, Narayanan Vijaykrishnan, Tim Tuan Thermal characterization and optimization in platform FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Virtex4, platform FPGAs, thermal floorplan, placement, temperature, thermal
24Mike Hutton, David Karchmer, Bryan Archell, Jason Govig Efficient static timing analysis and applications using edge masks. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cut-path, multicycle, thru-x, FPGA, placement, timing analysis
24Bart De Vleeschauwer, Filip De Turck, Bart Dhoedt, Piet Demeester On the Construction of QoS Enabled Overlay Networks. Search on Bibsonomy QofIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF QoS, Overlay Network, Integer Linear Programming, Server Placement
24Jinsung Cho, Minyoung Sung, Heonshik Shin A Design Framework for Multi-Resolution Video Servers. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multi-resolution video stream model, data placement and retrieval, admission control, Video server
24Xueyan Tang, Samuel T. Chanson Coordinated En-Route Web Caching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF web cache management, web object placement, transparent web cache, performance evaluation, World Wide Web, dynamic programming, Web caching
24Sava Stanic, Suresh Subramaniam 0001, Hongsik Choi, Gokhan Sahin, Hyeong-Ah Choi On Monitoring Transparent Optical Networks. Search on Bibsonomy ICPP Workshops The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Transparent Optical Network, Monitor Placement, Fault Localization
24Srinivasan Parthasarathy 0001, Mohammed Javeed Zaki, Mitsunori Ogihara, Wei Li 0015 Parallel Data Mining for Association Rules on Shared-Memory Systems. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Improving locality, Memory placement, Reducing false sharing, Association rules, Parallel data mining
24Sandy Irani, Nalini Venkatasubramanian Semi-Continuous Transmission for Cluster-Based Video Servers. Search on Bibsonomy CLUSTER The full citation details ... 2001 DBLP  DOI  BibTeX  RDF clusters, scheduling, placement, video-on demand, migration, Multimedia servers
24Dirk Stroobandt, Herwig Van Marck, Jan Van Campenhout An Accurate Interconnection Length Estimation for Computer Logic. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Interconnection length, Interconnection complexity, Donath's hierarchical placement technique, Global interconnection length distribution, Rent's rule
24Amer Diwan, David Tarditi, J. Eliot B. Moss Memory System Performance of Programs with Intensive Heap Allocation Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer
24Julius S. Gyorfi, Chi-haur Wu An Efficient Algorithm for Placement Sequence and Feeder Assignment Problems With Multiple Placement-Nozzles and Independent Link Evaluation. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part A The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Yo Kobayashi, Akinori Onishi, Hiroki Watanabe, Takeharu Hoshi, Kazuya Kawamura, Masakatsu G. Fujie Developing a planning method for straight needle insertion using probability-based condition where a puncture occurs. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Srinath Sridharan, Michael DeBole, Guangyu Sun 0003, Yuan Xie 0001, Vijaykrishnan Narayanan A criticality-driven microarchitectural three dimensional (3D) floorplanner. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Yu Wang 0002, Ku He, Rong Luo, Hui Wang 0004, Huazhong Yang Two-Phase Fine-Grain Sleep Transistor Insertion Technique in Leakage Critical Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Lamine M. Aouad, Nhien-An Le-Khac, M. Tahar Kechadi Persistent Workflow on the Grid. Search on Bibsonomy APSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Huan Ren, Shantanu Dutt Algorithms for simultaneous consideration of multiple physical synthesis transforms for timing closure. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Po-Yuan Chen, Che-Yu Liu, TingTing Hwang Transition-aware decoupling-capacitor allocation in power noise reduction. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Joshua Robinson 0002, Mustafa Uysal, Ram Swaminathan, Edward W. Knightly Adding Capacity Points to a Wireless Mesh Network Using Local Search. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Luis Angel D. Bathen, Nikil D. Dutt, Sudeep Pasricha A framework for memory-aware multimedia application mapping on chip-multiprocessors. Search on Bibsonomy ESTIMedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Jun Guo 0001, Sanjay Jha 0001 Placing Multicast Proxies for Internet Live Media Streaming. Search on Bibsonomy LCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt Integrating Physical Constraints in HW-SW Partitioning for Architectures With Partial Dynamic Reconfiguration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Andrew B. Kahng, Ion I. Mandoiu, Sherief Reda, Xu Xu 0001, Alexander Zelikovsky Computer-Aided Optimization of DNA Array Design and Manufacturing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Bradley D. Null, Eric D. Sinzinger Next Best View Algorithms for Interior and Exterior Model Acquisition. Search on Bibsonomy ISVC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang A high-quality mixed-size analytical placer considering preplaced blocks and density constraints. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Andrew B. Kahng, Qinke Wang Implementation and extensibility of an analytic placer. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Gianfranco Bilardi, Keshav Pingali Algorithms for computing the static single assignment form. Search on Bibsonomy J. ACM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF program transformation, optimizing compilers, program optimization, Control dependence, static single assignment form
23Bo-Kyung Choi, Huaiyu Xu, Maogang Wang, Majid Sarrafzadeh Flow-Based Cell Moving Algorithm for Desired Cell Distribution. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Phillip Christie, Dirk Stroobandt The interpretation and application of Rent's rule. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Geetika T. Lakshmanan, Ying Li, Robert E. Strom Placement of replicated tasks for distributed stream processing systems. Search on Bibsonomy DEBS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF component, replication, placement, task, distributed stream processing
22Gregory Lucas, Chen Dong 0003, Deming Chen Variation-aware placement for FPGAs with multi-cycle statistical timing analysis. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-cycle, variation-aware, fpga, placement, ssta, statistical static timing analysis
22Mirza Omer Beg, Peter van Beek A graph theoretic approach to cache-conscious placement of data for direct mapped caches. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache consciousness, data placement in cache, offline algorithms, memory management, cache optimization
22Santosh Pandey, Shaoqiang Dong, Prathima Agrawal, Krishna M. Sivalingam On Performance of Node Placement Approaches for Hierarchical Heterogeneous Sensor Networks. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous nodes, clusterhead node placement, wireless sensor networks, hierarchical network
22Guillaume Mercier, Jérôme Clet-Ortega Towards an Efficient Process Placement Policy for MPI Applications in Multicore Environments. Search on Bibsonomy PVM/MPI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Process placement, Message-Passing, Multicore architectures
22Mauricio J. Serrano, Xiaotong Zhuang Placement optimization using data context collected during garbage collection. Search on Bibsonomy ISMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data context, data context analysis, program understanding, placement optimization
22Behzad Sajed Khosrowshahi, Peter Graham Component placement and location for a dynamic software composition system. Search on Bibsonomy C3S2E The full citation details ... 2009 DBLP  DOI  BibTeX  RDF component location, component placement, service composition, software components
22Leonor Albuquerque Melo Multi-colony ant colony optimization for the node placement problem. Search on Bibsonomy GECCO (Companion) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-colony, node placement problem, ant colony optimization
22Ju-Chao Zhuo, Jun Li, Gang Wu Study of cache placement for time-shifted TV cluster using genetic algorithm. Search on Bibsonomy GEC Summit The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache placement, time-shifted tv (tstv), genetic algorithm, blocking probability
22Eric Becker, Gutemberg Guerra-Filho, Fillia Makedon Automatic sensor placement in a 3D volume. Search on Bibsonomy PETRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sensor placement
22François Bérard, Jessica Ip, Mitchel Benovoy, Dalia El-Shimy, Jeffrey R. Blum, Jeremy R. Cooperstock Did "Minority Report" Get It Wrong? Superiority of the Mouse over 3D Input Devices in a 3D Placement Task. Search on Bibsonomy INTERACT (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3D placement, input device, stress
22Mojtaba Mehrara, Todd M. Austin Exploiting selective placement for low-cost memory protection. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Partial memory protection, selective placement, transient faults, fault-tolerant design, memory system design
22Steven Noel, Sushil Jajodia Optimal IDS Sensor Placement and Alert Prioritization Using Attack Graphs. Search on Bibsonomy J. Netw. Syst. Manag. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Topological vulnerability analysis, Intrusion detection, Attack graphs, Sensor placement
22Bjorn De Sutter, Paul Coene, Tom Vander Aa, Bingfeng Mei Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register allocation, placement and routing, coarse-grained, reconfigurable arrays
22Yasir Drabu, Hassan Peyravi Gateway Placement with QoS Constraints in Wireless Mesh Networks. Search on Bibsonomy ICN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mesh deployment, wireless mesh networks, gateway placement
22Akshat Verma, Puneet Ahuja, Anindya Neogi Power-aware dynamic placement of HPC applications. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF power management, placement, high performance
22Hao Li, Yue Zhuo Criticality history guided FPGA placement algorithm for timing optimization. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fpga, placement, timing optimization
22Ming-Fang Lai, Hung-Ming Chen An Implementation of Performance-Driven Block and I/O Placement for Chip-Package Codesign. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Chip-Package Codesign, I/O Placement, Power Integrity
22Abdelfatteh Haidine, Ralf Lehnert Placement of Base Stations in Broadband Power Line Communications Access Networks by Means of Multi-criteria Optimization. Search on Bibsonomy AccessNets The full citation details ... 2008 DBLP  DOI  BibTeX  RDF uplink delay, Broadband Power-Line Communications (B-PLC), access network planning, generalized base station placement, multi-criteria optimization, network costs
22Zhijia Chen, Chuang Lin 0002, Hao Yin, Bo Li 0001 On the Server Placement Problem of P2P Live Media Streaming System. Search on Bibsonomy PCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF P2P, CDN, Media Streaming, Server Placement
22Kenneth Eguro, Scott Hauck Enhancing timing-driven FPGA placement for pipelined netlists. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF timing-driven, FPGA, simulated annealing, pipelined, placement
22Aaron So, Ben Liang 0001 Enhancing WLAN Capacity by Strategic Placement of Tetherless Relay Points. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF tetherless relay point, capacity improvement, Wireless local area network, mathematical programming/optimization, placement optimization
22Claudio Vicari, Chiara Petrioli, Francesco Lo Presti Dynamic replica placement and traffic redirection in content delivery networks. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic replica placement, user requests redirection, content delivery networks, content access
22Errol L. Lloyd, Guoliang Xue Relay Node Placement in Wireless Sensor Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wireless sensor networks, approximation algorithms, Relay node placement
22Keqiu Li, Hong Shen 0001, Francis Y. L. Chin, Weishi Zhang Multimedia Object Placement for Transparent Data Replication. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transparent data access, optimization, multimedia, transcoding, Web caching, object placement
22Changsheng Xie, Xu Li, Qinqi Wei, Qiang Cao 0001 EOP: An Efficient Object Placement and Location Algorithm for OBS Cluster. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interval mapping, hash function, OBS, object placement
22Gustavo Sánchez, Minaya Villasana, Miguel Strefezza Multi-objective Pole Placement with Evolutionary Algorithms. Search on Bibsonomy EMO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-objective control, Pole placement, Evolutionary Algorithms
Displaying result #501 - #600 of 16451 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license