The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for placement with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1974 (19) 1975-1976 (15) 1977-1979 (22) 1980-1981 (16) 1982-1983 (24) 1984 (27) 1985 (26) 1986 (26) 1987 (32) 1988 (59) 1989 (61) 1990 (83) 1991 (70) 1992 (68) 1993 (64) 1994 (105) 1995 (111) 1996 (112) 1997 (139) 1998 (171) 1999 (197) 2000 (234) 2001 (257) 2002 (302) 2003 (397) 2004 (451) 2005 (570) 2006 (603) 2007 (649) 2008 (669) 2009 (551) 2010 (388) 2011 (436) 2012 (449) 2013 (566) 2014 (551) 2015 (643) 2016 (643) 2017 (791) 2018 (838) 2019 (982) 2020 (922) 2021 (962) 2022 (978) 2023 (949) 2024 (223)
Publication types (Num. hits)
article(6401) book(10) data(5) incollection(69) inproceedings(9778) phdthesis(188)
Venues (Conferences, Journals, ...)
CoRR(860) DAC(375) IEEE Trans. Comput. Aided Des....(334) ICCAD(252) IEEE Access(221) ISPD(208) GLOBECOM(171) ICC(167) ASP-DAC(163) DATE(127) Sensors(123) ICRA(109) INFOCOM(102) FPL(101) ACC(94) FPGA(88) More (+10 of total 2867)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5436 occurrences of 2452 keywords

Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Ichiang Lin, David Hung-Chang Du Performance-Driven Constructive Placement. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Ralph-Michael Kling, Prithviraj Banerjee ESp: Placement by simulated evolution. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Michael A. B. Jackson, Ernest S. Kuh Performance-driven Placement of Cell Based IC's. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Lawrence T. Pillage, Ronald A. Rohrer A Quadratic Metric with a Simple Solution Scheme for Initial Placement. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
21Ralph-Michael Kling, Prithviraj Banerjee ESP: A New Standard Cell Placement Package Using Simulated Evolution. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
21Antoni A. Szepieniec Integrated placement/routing in sliced layouts. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
21Gotaro Odawara, Kazuhiko Iijima, Kazutoshi Wakabayashi Knowledge-based placement technique for printed wiring boards. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Nageswara S. V. Rao Computational Complexity Issues in Operative Diagnosis of Graph-Based Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF graph-based systems, alarm placement, fault propagation time, alarm placement problem, computational complexity, computational complexity, fault diagnosis, fault tolerant computing, NP-complete, fault propagation
19Zhuo Li 0001, David A. Papa, Charles J. Alpert, Shiyan Hu, Weiping Shi, Cliff C. N. Sze, Nancy Ying Zhou Ultra-fast interconnect driven cell cloning for minimizing critical path delay. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gate duplication, physical synthesis, timing-driven placement
19Ameya R. Agnihotri, Satoshi Ono, Patrick H. Madden An effective approach for large scale floorplanning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF placement, floorplanning, legalization
19Stephen Friedman, Allan Carroll, Brian Van Essen, Benjamin Ylvisaker, Carl Ebeling, Scott Hauck SPR: an architecture-adaptive CGRA mapping tool. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modulo graph, spr, static sharing, clustering, scheduling, routing, placement, pathfinder
19Stephen P. Kornachuk, Michael C. Smayling New strategies for gridded physical design for 32nm technologies and beyond. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm
19Hojjat Jafarpour, Bijit Hore, Sharad Mehrotra, Nalini Venkatasubramanian CCD: Efficient Customized Content Dissemination in Distributed Publish/Subscribe. Search on Bibsonomy Middleware The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Operator placement, Content dissemination, Publish/Subscribe
19Loren Fiore, Duc Fehr, Robert Bodor, Andrew Drenner, Guruprasad Somasundaram, Nikolaos Papanikolopoulos Multi-Camera Human Activity Monitoring. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pedestrian tracking, Surveillance, Human activity recognition, Camera placement
19Ashraf Hossain, T. Radhika, S. Chakrabarti, P. K. Biswas An Approach to Increase the Lifetime of a Linear Array of Wireless Sensor Nodes. Search on Bibsonomy Int. J. Wirel. Inf. Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Data gathering sensor network, Inter-node distance, Random node placement, Network lifetime, Multi-hop
19Jieyi Long, Seda Ogrenci Memik, Gokhan Memik, Rajarshi Mukherjee Thermal monitoring mechanisms for chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Thermal sensor allocation, nonuniform and uniform sensor placement
19Rajarshi Mukherjee, Song Liu, Seda Ogrenci Memik, Somsubhra Mondal A high-level clustering algorithm targeting dual Vdd FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clustering, field programmable gate arrays, partitioning, placement, voltage scaling, Dynamic power
19Feihui Li, Mahmut T. Kandemir, Mary Jane Irwin Implementation and evaluation of a migration-based NUCA design for chip multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NUCA, post office placement problem, CMP, data migration
19Ken Been, Eli Daiches, Chee-Keng Yap Dynamic Map Labeling. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF label selection, label filtering, label consistency, computational cartography, HCI, GIS, human-computer interface, preprocessing, realtime, dynamic maps, Map labeling, label placement
19Ali Jahanian 0001, Morteza Saheb Zamani Multi-Level Buffer Block Planning and Buffer Insertion for Large Design Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Buffer planning, incremental placement, buffer insertion
19Chengliang Zhang, Chen Ding 0001, Mitsunori Ogihara, Yutao Zhong 0001, Youfeng Wu A hierarchical model of data locality. Search on Bibsonomy POPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hierarchical data placement, reference affinity, volume distance, NP-complete, program locality, N-body simulation
19Taraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi, Maogang Wang, Majid Sarrafzadeh Dragon2006: blockage-aware congestion-controlling mixed-size placer. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, physical design
19Joseph Camp, Joshua Robinson 0002, Christopher Steger, Edward W. Knightly Measurement driven deployment of a two-tier urban mesh access network. Search on Bibsonomy MobiSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measurement-driven, pathloss, two-tier architecture, wireless, fairness, mesh, placement, deployment, access network, urban
19Ji Yeon Lee, Yong Hun Lim, Yon Dohn Chung, Myoung-Ho Kim Data Storage in Sensor Networks for Multi-dimensional Range Queries. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multi-dimensional range queries, data placement and distribution, Sensor network, data-centric storage
19Jarrod A. Roy, David A. Papa, Saurabh N. Adya, Hayward H. Chan, Aaron N. Ng, James F. Lu, Igor L. Markov Capo: robust and scalable open-source min-cut floorplacer. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placement, physical design, floorplanning
19Robert L. Scot Drysdale, Judith Hromcik, David Reed, Reg Hahne The year in review: changes and lessons learned in the design and implementation of the AP CS exam in Java. Search on Bibsonomy SIGCSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AP CS, AP CS development committee, Java exam, advanced placement computer science, collection classes, high school teachers, marine biology simulation case study, Java, interfaces, object-oriented design, inheritance, AP
19Sooyong Kang, Heon Young Yeom A New Block Fetching Scheme Considering Traffic Smoothing in Multimedia Servers. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF block fetching, block placement, multimedia system, traffic smoothing
19Sheung-Hung Poon, Chan-Su Shin, Tycho Strijk, Takeaki Uno, Alexander Wolff 0001 Labeling Points with Weights. Search on Bibsonomy Algorithmica The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Sliding labels, GIS, Computational geometry, Combinatorial optimization, Job scheduling, Maximum weight independent set, Label placement, Throughput maximization
19Dengfeng Gao, Jose Alvin G. Gendrano, Bongki Moon, Richard T. Snodgrass, Minseok Park, Bruce C. Huang, Jim M. Rodrigue Main Memory-Based Algorithms for Efficient Parallel Aggregation for Temporal Databases. Search on Bibsonomy Distributed Parallel Databases The full citation details ... 2004 DBLP  DOI  BibTeX  RDF aggregation tree algorithm, parallel temporal aggregation, result placement, temporal declustering, cost model, data reduction
19Ali Ahmadinia, Christophe Bobda, Dirk Koch, Mateusz Majer, Jürgen Teich Task scheduling for heterogeneous reconfigurable computers. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware preemption, scheduling, FPGA, placement, reconfigurable computing, partial reconfiguration
19Filip Sadlo, Ronald Peikert, Etienne Parkinson Vorticity Based Flow Analysis and Visualization for Pelton Turbine Design Optimization. Search on Bibsonomy IEEE Visualization The full citation details ... 2004 DBLP  DOI  BibTeX  RDF line placement, feature extraction, flow visualization
19Navaratnasothie Selvakkumaran, Abhishek Ranjan, Salil Raje, George Karypis Multi-resource aware partitioning algorithms for FPGAs with heterogeneous resources. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multi-constraint, multi-resource, FPGA, partitioning, placement, hierarchical
19Zhuoqing Morley Mao, David Johnson 0004, Oliver Spatscheck, Jacobus E. van der Merwe, Jia Wang Efficient and robust streaming provisioning in VPNs. Search on Bibsonomy WWW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF streaming server placement, VPNs
19Yongseok Cheon, Seokjin Lee, Martin D. F. Wong Stable Multiway Circuit Partitioning for ECO. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Stable circuit partitioning, incremental partitioning, engineering change order, similarity cost, placement
19Shankar Balachandran, Dinesh Bhatia A-priori wirelength and interconnect estimation based on circuit characteristics. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing demand, placement, wirelength, interconnect estimation
19Sooyong Kang, Heon Young Yeom Smoothed fetching: bridging the data layout and transmission schemes in multimedia servers. Search on Bibsonomy SAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multimedia system, data placement, traffic smoothing
19Yih-Chih Chou, Youn-Long Lin A performance-driven standard-cell placer based on a modified force-directed algorithm. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF placement, timing closure, force-directed
19Chiung-Shien Wu, Gin-Kou Ma, Mei-Chian Liu A Scalable Storage Supporting Multistream Real-Time Data Retrieval. Search on Bibsonomy Multim. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multimedia system, Storage system, Data placement
19Jianzhong Shi, Akash Randhar, Dinesh Bhatia Macro Block Based FPGA Floorplanning. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF macro block based FPGA floorplanning, FPGA based designs, very large designs, performance driven designs, constraint-based FPGA floorplanning, flexible macro blocks, fixed macro blocks, input constraint set, topological placement, FPGA architectural constraints, large benchmark examples, VLSI floorplanning, heuristic algorithm, integrated circuit layout, ASIC design
19István Vassányi, István Erényi Implementation of Processor Cells for Array Algorithms on FPGAs. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF array algorithms, processor cells, fine-grain array architectures, cellular image processing algorithms, placement-routing tool, field programmable gate arrays, FPGA, processor arrays
19Jin-Tai Yan A simple yet effective genetic approach for the orientation assignment on cell-based layout. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF genetic approach, orientation assignment, cell-based layout, total wire length minimisation, placement phase, routing area reduction, orientation states, vertical orientation bit, horizontal orientation bit, genetic algorithms, VLSI, VLSI design, network routing, circuit layout CAD, integrated circuit layout
19Sudip K. Nag, Rob A. Rutenbar Performance-driven simultaneous place and route for island-style FPGAs. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Xilinx 4000-series FPGAs, island-style FPGAs, performance-driven simultaneous placement/routing, place and route tools, FPGAs, field programmable gate arrays, logic CAD, network routing, circuit layout CAD, industrial designs, circuit layout
18Vassilios Gerousis Physical design implementation for 3D IC: methodology and tools. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 3D IC stack, micro-bump, physical design tools, silicon interposer, methodology, tsv
18Markus Brede Enhancing Synchronization in Systems of Non-identical Kuramoto Oscillators. Search on Bibsonomy Complex (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF non-identical oscillators, Kuramoto, networks, Synchronization
18Chaitanya Yalamanchili, Kiron Vijayasankar, Erez Zadok, Gopalan Sivathanu DHIS: discriminating hierarchical storage. Search on Bibsonomy SYSTOR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intelligent disks, storage stack, file systems, storage systems
18Aditya P. Karmarkar, Xiaopeng Xu, Victor Moroz, Greg Rollins, Xiao Lin Analysis of performance and reliability trade-off in dummy pattern design for 32-nm technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Jozsef Patvarczki, Murali Mani, Neil T. Heffernan Performance Driven Database Design for Scalable Web Applications. Search on Bibsonomy ADBIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scalability, Web application, database design
18Sergio González-Valenzuela, Son T. Vuong, Victor C. M. Leung A Mobile-Directory Approach to Service Discovery in Wireless Ad Hoc Networks. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18You-Chiun Wang, Yu-Chee Tseng Distributed Deployment Schemes for Mobile Wireless Sensor Networks to Ensure Multilevel Coverage. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Daniel Gmach, Jerry Rolia, Ludmila Cherkasova, Guillaume Belrose, Tom Turicchi, Alfons Kemper An integrated approach to resource pool management: Policies, efficiency and quality metrics. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Bernd Stube, Bernd Schröder, Eckart Hoene, Andre Lissner A Novel Approach for EMI Design of Power Electronics. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Pankaj K. Agarwal, Danny Z. Chen, Shashidhara K. Ganjugunte, Ewa Misiolek, Micha Sharir, Kai Tang 0001 Stabbing Convex Polygons with a Segment or a Polygon. Search on Bibsonomy ESA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Renshen Wang, Evangeline F. Y. Young, Yi Zhu 0002, Fan Chung Graham, Ronald L. Graham, Chung-Kuan Cheng 3-D floorplanning using labeled tree and dual sequences. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3-D packing, sequence, labeled tree
18Flavio Chierichetti, Silvio Lattanzi, Federico Mari, Alessandro Panconesi On placing skips optimally in expectation. Search on Bibsonomy WSDM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF probabilistic analysis, inverted index, skips
18Kevin Marquet, Gilles Grimaud A DSL approach for object memory management of small devices. Search on Bibsonomy PPPJ The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Pritha Banerjee 0001, Susmita Sur-Kolay Faster Placer for Island-Style FPGAs. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Dimitris Pongas, Michael N. Mistry, Stefan Schaal A Robust Quadruped Walking Gait for Traversing Rough Terrain. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yan Lin 0001, Lei He 0001 Stochastic physical synthesis for FPGAs with pre-routing interconnect uncertainty and process variation. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, uncertainty, process variation, stochastic, physical synthesis
18Doris T. Chen, Kristofer Vorwerk, Andrew A. Kennings Improving Timing-Driven FPGA Packing With Physical Information. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hung-Ming Chen, I-Min Liu, Martin D. F. Wong I/O Clustering in Design Cost and Performance Optimization for Flip-Chip Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Saikat Ray, Wei Lai, Ioannis Ch. Paschalidis Statistical location detection with sensor networks. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor networks, information theory, stochastic processes, hypothesis testing, mathematical programming/optimization
18Junhyung Um, Taewhan Kim Resource Sharing Combined with Layout Effects in High-Level Synthesis. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF resource allocation, high-level synthesis, layout
18Kaushik Rajan, Ramaswamy Govindarajan Two-level mapping based cache index selection for packet forwarding engines. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network processors, cache architectures
18Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace 2.0: an efficient analytical placer for mixed-mode designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Cristian Budianu, Lang Tong Channel estimation under asynchronous packet interference. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Chao-Yang Yeh, Malgorzata Marek-Sadowska Sequential delay budgeting with interconnect prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Stelian Alupoaei, Srinivas Katkoori Ant colony system application to macrocell overlap removal. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Hung-Ming Chen, I-Min Liu, Martin D. F. Wong, Muzhou Shao, Li-Da Huang I/O Clustering in Design Cost and Performance Optimization for Flip-Chip Design. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Stelian Alupoaei, Srinivas Katkoori Ant Colony Optimization Technique for Macrocell Overlap Removal. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Prabhakar Kudva, Andrew Sullivan, William E. Dougherty Measurements for structural logic synthesis optimizations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Thomas Erlebach, Stamatis Stefanakos Wavelength Conversion in Shortest-Path All-Optical Networks. Search on Bibsonomy ISAAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Xueyan Tang, Samuel T. Chanson Coordinated Management of Cascaded Caches for Efficient Content Distribution. Search on Bibsonomy ICDE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Yingjie Li 0003, Ming T. Liu Optimization of Performance Gain in Content Distribution Networks with Serve Replicas. Search on Bibsonomy SAINT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Chao-Yang Yeh, Malgorzata Marek-Sadowska Minimum-Area Sequential Budgeting for FPGA. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Junhyung Um, Jae-Hoon Kim 0001, Taewhan Kim Layout-driven resource sharing in high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Prabhakar Kudva, Andrew Sullivan, William E. Dougherty Metrics for structural logic synthesis. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18David L. Marcum Efficient Generation of High-Quality Unstructured Surface and Volume Grids. Search on Bibsonomy Eng. Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Anisotropic meshing, Local-reconnection, Surface mapping, Surface meshing, Advancing-front, Grid generation
18Pei-Ning Guo, Toshihiko Takahashi, Chung-Kuan Cheng, Takeshi Yoshimura Floorplanning using a tree representation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Florin Balasa, Koen Lampaert Symmetry within the sequence-pair representation in the context ofplacement for analog design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Andrew B. Kahng, Paul Tucker, Alexander Zelikovsky Optimization of Linear Placements for Wirelength Minimization with Free Sites. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Vugranam C. Sreedhar, Roy Dz-Ching Ju, David M. Gillies, Vatsa Santhanam Translating Out of Static Single Assignment Form. Search on Bibsonomy SAS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Masako Murofushi, Takashi Ishioka, Masami Murakata, Takashi Mitsuhashi Layout Driven Re-synthesis for Low Power Consumption LSIs. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Mark L. McAuliffe, Michael J. Carey 0001, Marvin H. Solomon Towards Effective and Efficient Free Space Management. Search on Bibsonomy SIGMOD Conference The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Anmol Mathur, Kuang-Chien Chen, C. L. Liu 0001 Applications of Slack Neighborhood Graphs to Timing Driven Optimization Problems in FPGAs. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Anmol Mathur, Kuang-Chien Chen, C. L. Liu 0001 Re-engineering of timing constrained placements for regular architectures. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Xilinx 3000 FPGA architecture, engineering requirements, regular architectures, timing constrained placements reengineering, FPGAs, field programmable gate arrays, logic CAD, program debugging, systems re-engineering, logic arrays, design flow, gate arrays, design specification, timing performance, design cycle, design debugging
18Jonathan Rose, Wolfgang Klebsch, Jürgen Wolf Temperature measurement and equilibrium dynamics of simulated annealing placements. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Chong-Min Kyung, Josef Widder, Dieter A. Mlynski Adaptive Cluster Growth (ACG): a new algorithm for circuit packing in rectilinear region. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Xiangmao Chang, Rui Tan 0001, Guoliang Xing, Zhaohui Yuan, Chenyang Lu 0001, Yixin Chen 0001, Yixian Yang Sensor Placement Algorithms for Fusion-Based Surveillance Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF wireless sensor networks, Data fusion, target detection, sensor placement
17Vinodh Venkatesan, Ilias Iliadis, Christina Fragouli, Rüdiger L. Urbanke Reliability of Clustered vs. Declustered Replica Placement in Data Storage Systems. Search on Bibsonomy MASCOTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF clustered, reliability, storage system, declustered, replica placement
17Xiao-Yu Hu, Robert Haas 0001, Evangelos Eleftheriou Container Marking: Combining Data Placement, Garbage Collection and Wear Levelling for Flash. Search on Bibsonomy MASCOTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Flash SSD, Write amplification, Garbage collection, Data placement, Wear levelling
17Hristijan Gjoreski, Mitja Lustrek, Matjaz Gams Accelerometer Placement for Posture Recognition and Fall Detection. Search on Bibsonomy Intelligent Environments The full citation details ... 2011 DBLP  DOI  BibTeX  RDF accelerometer placement, classification, Ambient intelligence, accelerometers, activity recognition, fall detection, posture recognition
17Deepal Jayasinghe, Calton Pu, Tamar Eilam, Malgorzata Steinder, Ian Whalley, Ed C. Snible Improving Performance and Availability of Services Hosted on IaaS Clouds with Structural Constraint-Aware Virtual Machine Placement. Search on Bibsonomy IEEE SCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Structural Constraints, VM Placement, Optimization, Performance, Availability, Clouds, IaaS, Datacenter
17Xiaofeng Han, Xiang Cao, Errol L. Lloyd, Chien-Chung Shen Fault-Tolerant Relay Node Placement in Heterogeneous Wireless Sensor Networks. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF approximation algorithms, Heterogeneous wireless sensor networks, relay node placement
17Bin Lin 0001, Pin-Han Ho, Liang-Liang Xie, Xuemin (Sherman) Shen, János Tapolcai Optimal Relay Station Placement in Broadband Wireless Access Networks. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Cooperative relaying, decode-and-forward, placement problem
17Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Near-Optimal Cache Block Placement with Reactive Nonuniform Cache Architectures. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF nonuniform cache architectures, parallel architectures, multicore, cache memories, data placement
17Weixiong Rao, Lei Chen 0002, Ada Wai-Chee Fu, Guoren Wang Optimal Resource Placement in Structured Peer-to-Peer Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache, topology, placement, distributed hash table (DHT), popularity, Peer-to-peer (P2P) network
17Keqin Wu, Zhanping Liu, Song Zhang 0004, Robert J. Moorhead II Topology-Aware Evenly Spaced Streamline Placement. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Evenly spaced streamlines, flow topology, seeding strategy, streamline placement, flow visualization
17Jie Cui, Xue Chen, Yongmei Lei, Weimin Xu Improving the Efficiency of Scheduling and Placement in FPGA by Small-world Model Based Genetic Algorithm. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Scheduling, FPGA, Placement, Small-world, GA
17Xiaoqun Yuan, Hao Yin, Xuening Liu, Changlai Du, Geyong Min Server Placement for Peer-to-Peer Live Streaming Systems. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Cross-Network Traffic, Peer-to-Peer, Live Streaming, Server Placement
17W. Hu, C. Wang, J. L. Ma, T. Z. Chen, D. Chen A Novel Approach for Finding Candidate Locations for Online FPGA Placement. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF partially runtime reconfigurable, dynamic placement, FPGA, reconfigurable computing
Displaying result #801 - #900 of 16451 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license