The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASICs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1990 (27) 1991-1993 (18) 1994-1995 (26) 1996 (19) 1997 (18) 1998 (21) 1999 (23) 2000 (31) 2001 (25) 2002 (40) 2003 (43) 2004 (51) 2005 (36) 2006 (45) 2007 (41) 2008 (47) 2009 (20) 2010-2011 (21) 2012-2013 (17) 2014-2015 (21) 2016-2018 (26) 2019-2020 (22) 2021-2022 (16) 2023-2024 (11)
Publication types (Num. hits)
article(136) book(1) incollection(1) inproceedings(513) phdthesis(14)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 618 occurrences of 392 keywords

Results
Found 665 publication records. Showing 665 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Ian Gibson, Chris Amies Practical concurrent ASIC and system design and verification. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Ivan C. Kraljic, Georges Quénot, Bertrand Y. Zavidovique From real-time emulation to ASIC integration for image processing applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Mani B. Srivastava, Robert W. Brodersen System level hardware module generation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Goeran Jerke Physical Design Challenges for Automotive ASICs. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Ankit Wagle, Jinghua Yang, Niranjan Kulkarni, Sarma B. K. Vrudhula A New Approach to Clock Skewing for Area and Power Optimization of ASICs Using Differential Flipflops and Local Clocking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Joël Lindegger, Damla Senol Cali, Mohammed Alser, Juan Gómez-Luna, Nika Mansouri-Ghiasi, Onur Mutlu Scrooge: a fast and memory-frugal genomic sequence aligner for CPUs, GPUs, and ASICs. Search on Bibsonomy Bioinform. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Javier Campos, Zhen Dong, Javier M. Duarte, Amir Gholami, Michael W. Mahoney, Jovan Mitrevski, Nhan Tran End-to-end codesign of Hessian-aware quantized neural networks for FPGAs and ASICs. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Yan Zou, Tian Pan 0001, Lu Lu, Zhiqiang Li, Kehan Yao, Tao Huang, Yunjie Liu P4RSS: Load-Aware Intra-Server Load Balancing with Programmable Switching ASICs. Search on Bibsonomy ICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Wei Jiang, Hao Jiang 0010, Jing Wu 0016, Pengcheng Zhou Accelerating Network Coding with Programmable Switch ASICs. Search on Bibsonomy ICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Jinghui Jiang, Zhenpei Huang, Qiao Xiang, Lu Tang 0004, Jiwu Shu Poster: P4-DPLL: Accelerating SAT Solving Using Switching ASICs. Search on Bibsonomy SIGCOMM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Rashid Karim, Marco Grassi, Piero Malcovati 1st-Order Error-Feedback Sampling-Rate Reconfigurable Noise-Shaping SAR ADC for Multi-Channel CMOS Front-End ASICs for Space Applications. Search on Bibsonomy NEWCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19David J. Frank, Sudipto Chakraborty, Kevin Tien, Pat Rosno, Mark Yeck, Joseph A. Glick, Raphael Robertazzi, Ray Richetta, John F. Bulzacchelli, Daniel Ramirez, Dereje Yilma, Andrew Davies, Rajiv V. Joshi, Scott Lekuch, Ken Inoue, Devin Underwood, Dorothy Wisnieff, Chris Baks, John Timmerwilke, Peilin Song, Blake R. Johnson, Brian P. Gaucher, Daniel J. Friedman Low power cryogenic RF ASICs for quantum computing. Search on Bibsonomy CICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Zhenpei Huang, Xiwen Fan, Jinghui Jiang, Mingyuan Song, Lu Tang 0004, Qiao Xiang, Jiwu Shu Accelerating SAT Solving Using Switching ASICs. Search on Bibsonomy ICPADS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Junfeng Liu, Liwei Ni, Xingquan Li, Min Zhou, Lei Chen, Xing Li, Qinghua Zhao, Shuai Ma 0001 AiMap: Learning to Improve Technology Mapping for ASICs via Delay Prediction. Search on Bibsonomy ICCD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Joël Lindegger, Damla Senol Cali, Mohammed Alser, Juan Gómez-Luna, Nika Mansouri-Ghiasi, Onur Mutlu Scrooge: A Fast and Memory-Frugal Genomic Sequence Aligner for CPUs, GPUs, and ASICs. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19David Watkins-Valls Scrypt Mining with ASICs. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Alexander Barkalov 0001, Larysa Titarenko, Kamil Mielcarek, Malgorzata Mazurkiewicz, Elzbieta Kawecka Logic Synthesis for VLSI-Based Combined Finite State Machines - Synthesis Targeting ASICs, CPLDs and FPGAs Search on Bibsonomy 2022   DOI  RDF
19Jinghui Jiang, Zhenpei Huang, Qiao Xiang, Lu Tang 0004, Jiwu Shu P4-DPLL: accelerating SAT solving using switching ASICs. Search on Bibsonomy FFSPIN@SIGCOMM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Christos Georgakidis, Stavros Simoglou, Christos P. Sotiriou RADPlace-MS: A Timing-Driven Placer and Optimiser for ASICs Radiation Hardening. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Sandeep Miryala, Md. Adnan Zaman, Sandeep Mittal, Yihui Ren 0001, Grzegorz Deptuch, Gabriella Carini, Sioan Zohar, Shinjae Yoo, Jack Fried, Jin Huang, Srinivas Katkoori Peak Prediction Using Multi Layer Perceptron (MLP) for Edge Computing ASICs Targeting Scientific Applications. Search on Bibsonomy ISQED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Sandeep Miryala, Gabriella Carini, Grzegorz Deptuch, Jin Huang, Srinivas Katkoori, Piotr Maj, Soumyajit Mandal, Yihui Ren 0001, Md. Adnan Zaman Design and Challenges of Edge Computing ASICs on Front-End Electronics. Search on Bibsonomy ISQED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Walter Lau Neto, Luca G. Amarù, Vinicius Possani, Patrick Vuillod, Jiong Luo, Alan Mishchenko, Pierre-Emmanuel Gaillardon Improving LUT-based optimization for ASICs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Michael Mattioli FPGAs in Client Compute Hardware: Despite certain challenges, FPGAs provide security and performance benefits over ASICs. Search on Bibsonomy ACM Queue The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Diksha Moolchandani, Anshul Kumar, Smruti R. Sarangi Accelerating CNN Inference on ASICs: A Survey. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Sebastian Strempfer, Kazutomo Yoshii, Mike Hammer, Dawid Bycul, Antonino Miceli Designing a Streaming Data Coalescing Architecture for Scientific Detector ASICs with Variable Data Velocity. Search on Bibsonomy XLOOP@SC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Enric M. Calvo, Philippe Renevey, Mathieu Lemay, Andrea Bonetti, Marc Pons Solé, Régis Cattenoz, Stéphane Emery, Ricard Delgado-Gonzalo Ultra-low-power Physical Activity Classifier for Wearables: From Generic MCUs to ASICs. Search on Bibsonomy EMBC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Andrea Floridia, Ernesto Sánchez 0001 A JTAG-based Fault Emulation Platform for Dependability Analyses of Processor-based ASICs. Search on Bibsonomy LASCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Shicheng Wang, Menghao Zhang, Guanyu Li, Chang Liu, Ying Liu, Xuya Jia, Mingwei Xu Making Multi-String Pattern Matching Scalable and Cost-Efficient with Programmable Switching ASICs. Search on Bibsonomy INFOCOM The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Chetan Deshpande, Ritesh Garg, Gajanan Jedhe, Gaurang Narvekar, Sushil Kumar A 5nm Fin-FET 2G-search/s 512-entry x 220-bit TCAM with Single Cycle Entry Update Capability for Data Center ASICs. Search on Bibsonomy VLSI Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Chaya Ganesh, Claudio Orlandi, Daniel Tschudi, Aviv Zohar Virtual ASICs: Generalized Proof-of-Stake Mining in Cryptocurrencies. Search on Bibsonomy DPM/CBT@ESORICS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Jinuk Kim, Muhammad Ibtesam, Dooyoung Kim, Jihun Jung, Sungju Park CAN-Based Aging Monitoring Technique for Automotive ASICs With Efficient Soft Error Resilience. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Aseem Sayal, Paras Ajay, Mark W. McDermott, S. V. Sreenivasan, Jaydeep P. Kulkarni M2A2: Microscale Modular Assembled ASICs for High-Mix, Low-Volume, Heterogeneously Integrated Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Aviv Yaish, Aviv Zohar Pricing ASICs for Cryptocurrency Mining. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Pengfei Xu 0011, Xiaofan Zhang 0001, Cong Hao, Yang Zhao 0013, Yongan Zhang, Yue Wang 0036, Chaojian Li, Zetong Guan, Deming Chen, Yingyan Lin AutoDNNchip: An Automated DNN Chip Predictor and Builder for Both FPGAs and ASICs. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Chaya Ganesh, Claudio Orlandi, Daniel Tschudi, Aviv Zohar Virtual ASICs: Generalized Proof-of-Stake Mining in Cryptocurrencies. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2020 DBLP  BibTeX  RDF
19Pengfei Xu 0011, Xiaofan Zhang 0001, Cong Hao, Yang Zhao 0013, Yongan Zhang, Yue Wang 0036, Chaojian Li, Zetong Guan, Deming Chen, Yingyan Lin AutoDNNchip: An Automated DNN Chip Predictor and Builder for Both FPGAs and ASICs. Search on Bibsonomy FPGA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Jiaqi Gao, Ennan Zhai, Hongqiang Harry Liu, Rui Miao, Yu Zhou 0008, Bingchuan Tian, Chen Sun 0005, Dennis Cai, Ming Zhang 0005, Minlan Yu Lyra: A Cross-Platform Language and Compiler for Data Plane Programming on Heterogeneous ASICs. Search on Bibsonomy SIGCOMM The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Jianyu Zhao, Ayman Mohamed, Jens Anders An Active CMOS NMR Field Probe with Custom Transceiver and ΣΔ Modulator ASICs and an Optical Link. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Lars Brusberg, Aramais R. Zakharian, Sükrü Ekin Kocabas, Jason R. Grenier, Chad C. Terwilliger, Alan F. Evans Optoelectronic Glass Substrates for Co-Packaging of Optics and ASICs. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
19Shuhe Wang, Chen Sun 0005, Zili Meng, Minhu Wang, Jiamin Cao, Mingwei Xu, Jun Bi, Qun Huang 0001, Masoud Moshref, Tong Yang 0003, Hongxin Hu, Gong Zhang 0001 Martini: Bridging the Gap between Network Measurement and Control Using Switching ASICs. Search on Bibsonomy ICNP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Gaëlle Lefort, Laurence Liaubet, Cécile Canlet, Patrick Tardivel, Marie-Christine Père, Hélène Quesnel, Alain Paris, Nathalie Iannuccelli, Nathalie Vialaneix, Rémi Servien ASICS: an R package for a whole analysis workflow of 1D 1H NMR spectra. Search on Bibsonomy Bioinform. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Mohamed Chentouf, Soukaina Mourssi, Zine El Abidine Alaoui Ismaili Power aware setup timing optimization in physical design of ASICs. Search on Bibsonomy Microelectron. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Thorben Moos Static Power SCA of Sub-100 nm CMOS ASICs and the Insecurity of Masking Schemes in Low-Noise Environments. Search on Bibsonomy IACR Trans. Cryptogr. Hardw. Embed. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Simona Cometti, Giovanni Mazza New ASICs for the Very Front-End Card Upgrade of the CMS ECAL Barrel at the HL-LHC. Search on Bibsonomy PRIME The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Massimo Gandola, Marco Grassi, Filippo Mele, Giuseppe Bertuccio, Piero Malcovati Mixed-Signal ASICs for X- and γ-Ray Spectroscopy in Space Applications. Search on Bibsonomy ICECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Albert Magyar, David Biancolin, John Koenig, Sanjit Seshia, Jonathan Bachrach, Krste Asanovic Golden Gate: Bridging The Resource-Efficiency Gap Between ASICs and FPGA Prototypes. Search on Bibsonomy ICCAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Yehya Nasser, Carlo Sau, Jean-Christophe Prévotet, Tiziana Fanni, Francesca Palumbo, Maryline Hélard, Luigi Raffo NeuPow: artificial neural networks for power and behavioral modeling of arithmetic components in 45nm ASICs technology. Search on Bibsonomy CF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Pravein Govindan Kannan, Raj Joshi, Mun Choon Chan Precise Time-synchronization in the Data-Plane using Programmable Switching ASICs. Search on Bibsonomy SOSR The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Yu-Zhe Wang, Jingjie Wu, Shi-Hao Chen, Mango Chia-Tso Chao, Chia-Hsiang Yang Micro-Architecture Optimization for Low-Power Bitcoin Mining ASICs. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Yu-Kuen Lai, Ku-Yeh Shih, Po-Yu Huang, Ho-Ping Lee, Yu-Jau Lin, Te-Lung Liu, Jim Hao Chen Sketch-based Entropy Estimation for Network Traffic Analysis using Programmable Data Plane ASICs. Search on Bibsonomy ANCS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Aidin Amirkhani Design of analog ASICs for X-ray detectors. Search on Bibsonomy 2019   RDF
19Massimo Gandola Low-noise, Low-power Front-End ASICs for high-resolution X and Gamma ray spectroscopy for radiation semiconductor detector. Search on Bibsonomy 2019   RDF
19Caiwen Ding, Ao Ren, Geng Yuan, Xiaolong Ma, Jiayu Li, Ning Liu 0007, Bo Yuan 0001, Yanzhi Wang Structured Weight Matrices-Based Hardware Accelerators in Deep Neural Networks: FPGAs and ASICs. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
19Yu Wu 0007, Dai Jiang, Andy Bardill, Serena De Gelidi, Richard H. Bayford, Andreas Demosthenous A High Frame Rate Wearable EIT System Using Active Electrode ASICs for Lung Respiration and Heart Rate Monitoring. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Alessandro Caratelli, Simone Scarfi, Davide Ceresa, Kostas Kloukinas, Yusuf Leblebici System Level simulation framework for the ASICs development of a novel particle physics detector. Search on Bibsonomy PRIME The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Eriko Nurvitadhi, Jeffrey J. Cook, Asit K. Mishra, Debbie Marr, Kevin Nealis, Philip Colangelo, Andrew C. Ling, Davor Capalija, Utku Aydonat, Sergey Y. Shumarayev, Aravind Dasu In-Package Domain-Specific ASICs for Intel® Stratix® 10 FPGAs: A Case Study of Accelerating Deep Learning Using TensorTile ASIC(Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Eriko Nurvitadhi, Jeffrey J. Cook, Asit K. Mishra, Debbie Marr, Kevin Nealis, Philip Colangelo, Andrew C. Ling, Davor Capalija, Utku Aydonat, Aravind Dasu, Sergey Y. Shumarayev In-Package Domain-Specific ASICs for Intel® Stratix® 10 FPGAs: A Case Study of Accelerating Deep Learning Using TensorTile ASIC. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Jiasong Bai, Jun Bi, Menghao Zhang, Guanyu Li Filtering Spoofed IP Traffic Using Switching ASICs. Search on Bibsonomy SIGCOMM Posters and Demos The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Yusuke Kitada, Jun Fujiwara, Nobumasa Hattori, Kensuke Kanda, Takayuki Fujita, Kazusuke Maenaka Integration of MPU and ASICs for Low-Power Human Monitoring System. Search on Bibsonomy SMC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Aljoscha Kirchner, Jan-Hendrik Oetjens, Oliver Bringmann 0001 Using SysML for Modelling and Code Generation for Smart Sensor ASICs. Search on Bibsonomy FDL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Zhiyuan Yang 0001, Ankur Srivastava 0001 Value-driven Synthesis for Neural Network ASICs. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Theo Jepsen, Masoud Moshref, Antonio Carzaniga, Nate Foster, Robert Soulé Packet Subscriptions for Programmable ASICs. Search on Bibsonomy HotNets The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Caiwen Ding, Ao Ren, Geng Yuan, Xiaolong Ma, Jiayu Li, Ning Liu 0007, Bo Yuan 0001, Yanzhi Wang Structured Weight Matrices-Based Hardware Accelerators in Deep Neural Networks: FPGAs and ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19P. Gkountoumis Prototype board development for the validation of the VMM ASICs for the new small wheel ATLAS upgrade project. Search on Bibsonomy MOCAST The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Junying Chai Power Management Circuits for Front-End ASICs Employed in High Energy Physics Applications. Search on Bibsonomy 2018   RDF
19Colin Boyd, Cas Cremers, Michèle Feltz, Kenneth G. Paterson, Bertram Poettering, Douglas Stebila ASICS: authenticated key exchange security incorporating certification systems. Search on Bibsonomy Int. J. Inf. Sec. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Anees Ullah, Ernesto Sánchez 0001, Luca Sterpone, Luis Andrés Cardona, Carles Ferrer 0001 An FPGA-based dynamically reconfigurable platform for emulation of permanent faults in ASICs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Jeongkeun Lee, Rui Miao, Changhoon Kim, Minlan Yu, Hongyi Zeng Stateful Layer-4 Load Balancing in Switching ASICs. Search on Bibsonomy SIGCOMM Posters and Demos The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Rui Miao, Hongyi Zeng, Changhoon Kim, Jeongkeun Lee, Minlan Yu SilkRoad: Making Stateful Layer-4 Load Balancing Fast and Cheap Using Switching ASICs. Search on Bibsonomy SIGCOMM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Luis Alberto Contreras Benites, Fernanda Lima Kastensmidt Fault injection methodology for single event effects on clock-gated ASICs. Search on Bibsonomy LATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Niranjan Kulkarni, Jinghua Yang, Jae-sun Seo, Sarma B. K. Vrudhula Reducing Power, Leakage, and Area of Standard-Cell ASICs Using Threshold Logic Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Xiao Liu 0001, Zhulin Zong, Dai Jiang, Bachir Bougaila, Nick Donaldson, Andreas Demosthenous Advances in Scalable Implantable Systems for Neurostimulation Using Networked ASICs. Search on Bibsonomy IEEE Des. Test The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Naoya Torii, Dai Yamamoto, Tsutomu Matsumoto Evaluation of Latch-based Physical Random Number Generator Implementation on 40 nm ASICs. Search on Bibsonomy TrustED@CCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Marco Bekooij From dataflow analysis basics to the programming of ASICs. Search on Bibsonomy SCOPES The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Keisuke Inoue ECC module optimization for storage transient error-tolerant ASICs. Search on Bibsonomy ICECS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Naoya Torii, Dai Yamamoto, Tsutomu Matsumoto Evaluation of Latch-Based PUFs Implemented on 40 nm ASICs. Search on Bibsonomy CANDAR The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Riad S. Wahby, Max Howald, Siddharth Garg, Abhi Shelat, Michael Walfish Verifiable ASICs. Search on Bibsonomy IEEE Symposium on Security and Privacy The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Andreas Hartel Implementation and characterization of mixed-signal neuromorphic ASICs. Search on Bibsonomy 2016   RDF
19Dai Yamamoto, Kazuo Sakiyama, Mitsugu Iwamoto, Kazuo Ohta, Masahiko Takenaka, Kouichi Itoh, Naoya Torii A new method for enhancing variety and maintaining reliability of PUF responses and its evaluation on ASICs. Search on Bibsonomy J. Cryptogr. Eng. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Riad S. Wahby, Max Howald, Siddharth Garg, Abhi Shelat, Michael Walfish Verifiable ASICs. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2015 DBLP  BibTeX  RDF
19Zoya Dyka, Christian Wittke, Peter Langendörfer Clockwise Randomization of the Observable Behaviour of Crypto ASICs to Counter Side Channel Attacks. Search on Bibsonomy DSD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Natale Demaria Recent ASICs developments in 65nm CMOS technology for high energy physics experiments. Search on Bibsonomy ICECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Mahdi Ahangarianabhari, Giuseppe Bertuccio, Stefano Caccia, Marco Grassi, Daniele Macera, Piero Malcovati From StarX32 to VEGA: Low-power and low-noise mixed-signal ASICs for X-ray detectors in space and medical applications. Search on Bibsonomy ICECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Macarena C. Martínez-Rodríguez, Piedad Brox, Erica Tena, Antonio J. Acosta 0001, Iluminada Baturone Programmable ASICs for model predictive control. Search on Bibsonomy ICIT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Jinghua Yang, Joseph Davis, Niranjan Kulkarni, Jae-sun Seo, Sarma B. K. Vrudhula Dynamic and leakage power reduction of ASICs using configurable threshold logic gates. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Khaled A. Helal, Sameh Attia, Tawfik Ismail, Hassan Mostafa Comparative review of NoCs in the context of ASICs and FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Milos Krstic Reducing Power Consumption in Fault Tolerant ASICs. Search on Bibsonomy GI-Jahrestagung The full citation details ... 2015 DBLP  BibTeX  RDF
19Marvin Freier Indikatoren-basierte Entwurfsraumexploration für Sensor-ASICs in automobilen Applikationen. Search on Bibsonomy 2015   RDF
19Bishnu Prasad Das, Hidetoshi Onodera Frequency-Independent Warning Detection Sequential for Dynamic Voltage and Frequency Scaling in ASICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Michitarou Yabuuchi, Ryo Kishida, Kazutoshi Kobayashi Correlations between BTI-Induced Degradations and Process Variations on ASICs and FPGAs. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Miroslaw Zoladz, Piotr Kmon, Jacek Rauza, Pawel Grybos, Tomasz Blasiak Multichannel neural recording system based on family ASICs processed in submicron technology. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Piotr Maj Mismatch effects and their correction in large area ASICs. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Piotr Otfinowski, Pawel Grybos, Robert Szczygiel, Piotr Maj ADCs in deep submicron technologies for ASICs of pixel architecture. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Sabrina Titri, Cherif Larbes, Kamal Youcef-Toumi Rapid prototyping of PVS into FPGA: From model based design to FPGA/ASICs implementation. Search on Bibsonomy IDT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Martin L. Schmatz, Rik Jongerius, Gero Dittmann, Andreea Anghel, Ton Engbersen, Jan van Lunteren, Peter Buchmann Scalable, efficient ASICS for the square kilometre array: From A/D conversion to central correlation. Search on Bibsonomy ICASSP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Ernesto Sánchez 0001, Luca Sterpone, Anees Ullah Effective emulation of permanent faults in ASICs through dynamically reconfigurable FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Neel Gala, V. R. Devanathan, Karthik Srinivasan, V. Visvanathan, V. Kamakoti 0001 ProCA: Progressive Configuration Aware Design Methodology for Low Power Stochastic ASICs. Search on Bibsonomy VLSID The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Riccardo Quaglia A family of readout ASICs for X and γ-rays spectroscopy. Search on Bibsonomy 2014   RDF
19Mahdi Ahangarianabhari Low-Noise, Low-Power Front-End ASICs for High-Resolution X-ray Spectroscopy and Imaging in Space with Silicon Drift Detectors. Search on Bibsonomy 2014   RDF
19Colin Boyd, Cas Cremers, Michèle Feltz, Kenneth G. Paterson, Bertram Poettering, Douglas Stebila ASICS: Authenticated Key Exchange Security Incorporating Certification Systems. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2013 DBLP  BibTeX  RDF
Displaying result #101 - #200 of 665 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license