The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DFM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-2001 (18) 2002-2004 (27) 2005 (27) 2006 (28) 2007 (28) 2008 (30) 2009-2010 (20) 2011-2016 (19) 2017-2021 (16) 2022-2024 (7)
Publication types (Num. hits)
article(46) incollection(1) inproceedings(170) phdthesis(2) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 229 occurrences of 108 keywords

Results
Found 220 publication records. Showing 220 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22David E. Duarte, Paola Zepeda, Suching Hsu, Atul Maheshwari, Greg Taylor HVM performance validation and DFM techniques used in a 32nm CMOS thermal sensor system. Search on Bibsonomy CICC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Dongok Kim, Irith Pomeranz, M. Enamul Amyeen, Srikanth Venkataraman Defect diagnosis based on DFM guidelines. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Osíris Canciglieri Júnior, João Pedro Buiarskey Kovalchuk, Marcelo Rudek, Teófilo Miguel de Souza Development of White Goods Parts in a Concurrent Engineering Environment Based on DFM/DFA Concepts. Search on Bibsonomy CE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Sarveswara Tammali, Vishal Khatri, Gowrysankar Shanmugam, Mark Terry DFM aware bridge pair extraction for manufacturing test development. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Matteo Golfarelli DFM as a Conceptual Model for Data Warehouse. Search on Bibsonomy Encyclopedia of Data Warehousing and Mining The full citation details ... 2009 DBLP  BibTeX  RDF
22Laurent Remy, Philippe Coll, Fabrice Picot, Philippe Mico, Jean-Michel Portal Definition of an innovative filling structure for digital blocks : the DFM filler cell. Search on Bibsonomy ICECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Ritesh P. Turakhia, Mark Ward, Sandeep Kumar Goel, Brady Benware Bridging DFM Analysis and Volume Diagnostics for Yield Learning - A Case Study. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Mustafa Cemal Çakir, O. O. Cilsal Implementation of a contradiction-based approach to DFM. Search on Bibsonomy Int. J. Comput. Integr. Manuf. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Carsten Elgert, Volker Herbig, Anton Ossner, Thomas Harms, Emmanuel Blanc DfM in the Analogue and Digital World. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Anis Uzzaman How To Increase the Effectiveness of Yield Diagnostics-Is DFM the Answer to This? Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Dongok Kim, Irith Pomeranz, M. Enamul Amyeen, Srikanth Venkataraman Prioritizing the Application of DFM Guidelines Based on the Detectability of Systematic Defects. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Praveen Elakkumanan Tutorial 6: Enhancing Yield through Design for Manufacturability (DFM). Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Taro Niiyama, Piao Zhe, Koichi Ishida, Masami Murakata, Makoto Takamiya, Takayasu Sakurai Dependence of Minimum Operating Voltage (VDDmin) on Block Size of 90-nm CMOS Ring Oscillators and its Implications in Low Power DFM. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Maharaj Mukherjee, Kanad Chakraborty A Randomized Greedy Algorithm for the Pattern Fill Problem for DFM Applications. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Srikanth Venkataraman, Nagesh Tamarapalli DFM / DFT / SiliconDebug / Diagnosis. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Jui-Hsiang Liu, Ming-Feng Tsai, Lumdo Chen, Charlie Chung-Ping Chen Accurate and analytical statistical spatial correlation modeling for VLSI DFM applications. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF process variation, spatial correlation, SSTA
22Hiroki Shimano, Fukashi Morishita, Katsumi Dosaka, Kazutami Arimoto A Voltage Scalable Advanced DFM RAM with Accelerated Screening for Low Power SoC Platform. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Josko Valentincic, Daniel Brissaud, M. Junkar A novel approach to DFM in toolmaking: a case study. Search on Bibsonomy Int. J. Comput. Integr. Manuf. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Masaya Yoshikawa, Hidekazu Terai The new DFM approach based on a genetic algorithm. Search on Bibsonomy Artif. Life Robotics The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Philippe Magarshack Design challenges in 45nm and below: DFM, low-power and design for reliability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF design for reliability, low-power design, design for manufacturability
22Toshinori Sato, Yuji Kunitake A Simple Flip-Flop Circuit for Typical-Case Designs for DFM. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Srikanth Venkataraman DFM, DFY, Debug and Diagnosis: The Loop to Ensure Yield. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Jeong-Taek Kong Tipping Point for New Design Technologies: DFM, Low Power and ESL. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Dongok Kim, M. Enamul Amyeen, Srikanth Venkataraman, Irith Pomeranz, Swagato Basumallick, Berni Landau Testing for systematic defects based on DFM guidelines. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Dan Page, Jamil Kawa, Charles C. Chiang DFM: swimming upstream. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Peter Wright, Minghui Fan A DFM Methodology to Evaluate the Impact of Lithography Conditions on the Speed of Critical Paths in a VLSI Circuit. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Rajesh Raina What is DFM & DFY and Why Should I Care ? Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Robert C. Aitken The Design and Validation of IP for DFM/DFY Assurance. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22David Abercrombie, Bernd Koenemann, Nagesh Tamarapalli, Srikanth Venkataraman DFM, DFT, Silicon Debug and Diagnosis - The Loop to Ensure Product Yield. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Zuozhi Zhao, Jami J. Shah Domain independent shell for DfM and its application to sheet metal forming and injection molding. Search on Bibsonomy Comput. Aided Des. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Grant Martin Wireless, ESL, DFM, and Power on Stage at 42nd DAC. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DAC 2005, electronic system level (ESL) design, DAC 2006, wireless, EDA, SoC design
22Andrzej J. Strojwas Tutorial on DFM for physical design. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Joseph Sawicki Shifting Perspective on DFM. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Srinivas Raghvendra, Philippe Hurat DFM: Linking Design and Manufacturing. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Hans Willem van Vliet, Kees van Luttervelt Development and application of a mixed product/process-based DFM methodology. Search on Bibsonomy Int. J. Comput. Integr. Manuf. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Carlo Guardiani, Nicola Dragone, Patrick McNamara Proactive design for manufacturing (DFM) for nanometer SoC designs. Search on Bibsonomy CICC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Robert C. Aitken DFM: The Real 90nm Hurdle. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Robert C. Aitken Silicon IP And Successful DFM. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Cliff Ma DFM - An Industry Paradigm Shift. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Jitendra Khare DFM - A Fabless Perspective. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Henda Azaza, Ahmed Masmoudi 0001 On the dynamic and steady state performances of a vector controlled DFM drive. Search on Bibsonomy SMC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Alex Sander Chaves da Silva Um sistema de auxílio ao projetista de peças fundidas através da integração entre a técnica DFM e projeto auxiliado por computador. Search on Bibsonomy 2002   RDF
22Andreas Lechner, Martin John Burbidge, Andrew Richardson 0001, B. Hermes 3DB Challange for DfT, DfM, DOT & BIST Integration into Analogue and Mixed Signal ICs. Search on Bibsonomy LATW The full citation details ... 2001 DBLP  BibTeX  RDF
22Neil Harrison Orphan Metal Removal as an Element of DFM. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Layout modification, Yield, Design for manufacturability
22Thomas G. Waring, Gerard A. Allan, Anthony J. Walton Integration of DFM Techniques and Design Automation. Search on Bibsonomy DFT The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Wojciech Maly What is Design for Manufacturability (DFM)? (Panel Abstract). Search on Bibsonomy DAC The full citation details ... 1991 DBLP  BibTeX  RDF
22Masaru Takesue A distributed load-balancing system and its application to list-processing oriented data-flow machine dfm. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
22Makoto Amamiya, Masaru Takesue, Ryuzo Hasegawa, Hirohide Mikami DFM: the data flow machine highly parallel symbol manipulation. Search on Bibsonomy FJCC The full citation details ... 1987 DBLP  BibTeX  RDF
20Yi-Wei Lin, Malgorzata Marek-Sadowska, Wojciech Maly Performance study of VeSFET-based, high-density regular circuits. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF advanced technology., transistor layout, DFM, regular fabric
20Yongchan Ban, David Z. Pan Compact modeling and robust layout optimization for contacts in deep sub-wavelength lithography. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, VLSI, DFM, variation, lithography, contact
20Wojciech Maly Vertical slit transistor based integrated circuits (VeSTICs) paradigm. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual gate transistor, ic deign-manufacturing paradigm, vertical channel, vesfet, 3d integration, regular fabric, dfm
20Yi-Wei Lin, Malgorzata Marek-Sadowska, Wojciech Maly Transistor-level layout of high-density regular circuits. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF transistor layout, placement and routing, regular fabric, dfm
20David Abercrombie, Fedor Pikus, Cosmin Cazan Use of lithography simulation for the calibration of equation-based design rule checks. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LFD, Leff, equation based DRC, verification, manufacturability, DFM, lithography, DRC
20Min-Chun Tsai A formula of STI cmp design rule. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sti, topography, cmp, design rules, dfm
20Tai-Chen Chen, Guang-Wan Liao, Yao-Wen Chang Predictive formulae for OPC with applications to lithography-friendly routing. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, DFM, OPC, lithography, RET
20Joe Damore DATC Newsletter. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Electronic Design Process Subcommittee, DSD, SBCCI, DFM, EDA, DATC
20Joe Damore DATC Newsletter. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Juan-Antonio Carballo, DFM, EDA, design automation, DATC, ESL design
20Sylvain Guilley, Florent Flament, Philippe Hoogvorst, Renaud Pacalet, Yves Mathieu Secured CAD Back-End Flow for Power-Analysis-Resistant Cryptoprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF robust hardware, back-end design automation, power-constant architectures, DFY, side-channel attacks, DFM, mitigation
20Hua Xiang 0001, Kai-Yuan Chao, Ruchir Puri, Martin D. F. Wong Is your layout density verification exact?: a fast exact algorithm for density calculation. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fix-dissection, DFM, density
20Yanming Jia, Yici Cai, Xianlong Hong Dummy fill aware buffer insertion during routing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, VLSI, DFM, buffer insertion, dummy fill
20Yufu Zhang, Zheng Shi A New Method of Implementing Hierarchical OPC. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sub-wavelength Lithography, Cell-wise, EPE, Hierarchy, DFM, OPC, RET
20Thomas Hartung, Jim Kupec, Ana Hunter, Brad Paulsen, Felicia James, Nick Yu How will the fabless model survive? Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fabless, foundry, DFM, business
20Jie Yang 0010, Ethan Cohen, Cyrus Tabery, Norma Rodriguez, Mark Craig An up-stream design auto-fix flow for manufacturability enhancement. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF layout, DFM, OPC, design flow
20Amith Singhee, Claire Fang Fang, James D. Ma, Rob A. Rutenbar Probabilistic interval-valued computation: toward a practical surrogate for statistics inside CAD tools. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF algorithms, DFM, intervals
20Hailong Yao, Yici Cai, Xianlong Hong, Qiang Zhou 0001 Improved multilevel routing with redundant via placement for yield and reliability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF redundant via, routing, VLSI, DFM, yield enhancement
20Joydeep Mitra, Peng Yu, David Zhigang Pan RADAR: RET-aware detailed routing using fast lithography simulations. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DFM, OPC, lithography, detailed routing, RET
20Ricardo Reis 0001, Fernanda Lima Kastensmidt, José Luís Almada Güntzel Physical design methodologies for performance predictability and manufacturability. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design methodologies, regularity, layout, physical design, DFM
20Franklin M. Schellenberg, Luigi Capodieci Impact of RET on physical layouts. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF off-axis illumination, physical verification, simulation, DFM, OPC, lithography, RET, phase-shifting, PSM
20Yervant Zorian Yield Improvement and Repair Trade-Off for Large Embedded Memories. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF silicon repair, BIST, DFM, Yield improvement
20Mick Tegethoff, Tom Chen 0001 Simulation Techniques for the Manufacturing Test of MCMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF simulation, test, DFT, yield, DFM, SMT, MCM, board
19Qing Su, Charles C. Chiang, Jamil Kawa Hotspot Based Yield Prediction with Consideration of Correlations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Systematic Yield, DFM (design for manufacturing), correlation, Yield, Hotspot, Yield Prediction
19Di Wu 0017, Jiang Hu, Rabi N. Mahapatra Coupling aware timing optimization and antenna avoidance in layer assignment. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, interconnect, probabilistic modeling, physical design, crosstalk, design for manufacturability (DFM), antenna effect
19Tsung-Yi Ho, Yao-Wen Chang, Sao-Jie Chen Multilevel routing with antenna avoidance. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF nanometer, process antenna effect, routing, physical design, design for manufacturability (DFM), multilevel optimization
19Vipul Singhal, C. B. Keshav, K. G. Surnanth, P. R. Suresh Transistor Flaring in Deep Submicron-Design Considerations. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Deep Submicron (DSM), pullback, photolithography, Subwavelength-lithography, Optical Proximity Correction (OPC), SPICE-models, standard-ce1l library, Design for Manufacturability (DFM)
14Goutam Debnath, Paul J. Thadikaran Design for Manufacturability and Reliability in Nano Era. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Jason Cong, Yi Zou Lithographic aerial image simulation with FPGA-based hardwareacceleration. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF co-processor acceleration, lithography simulation, FPGA
14Swaroop Ghosh, Patrick Ndai, Kaushik Roy 0001 A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using Adaptive Clocking. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ahmadreza Momeni, Amir G. Aghdam A necessary and sufficient condition for stabilization of decentralized time-delay systems with commensurate delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Dudy Lim, Yew-Soon Ong, Yaochu Jin, Bernhard Sendhoff Evolutionary Optimization with Dynamic Fidelity Computational Models. Search on Bibsonomy ICIC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Kuen-Yu Tsai, Meng-Fu You, Yi-Chang Lu, Philip C. W. Ng A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effects. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ping-Ying Wang, Hsiu-Ming Chang 0001 A charge pump-based direct frequency modulator. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Fan QingMing, Liu Geng, Liu HongJun Research on Evaluation of Parts Manufacturability Based on Feature. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos A process and supply variation tolerant nano-CMOS low voltage, high speed, a/d converter for system-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flash adc, nano-cmos, ti comparator, process variation, analog-to-digital converter, low voltage, high speed
14Matt Nowak, Jose Corleto, Christopher Chun, Riko Radojcic Holistic pathfinding: virtual wireless chip design for advanced technology and design exploration. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design technology integration, design exploration, pathfinding
14Tarek A. El-Moselhy, Ibrahim M. Elfadel, David Widiger Efficient algorithm for the computation of on-chip capacitance sensitivities with respect to a large set of parameters. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sensitivity analysis, capacitance extraction, adjoint method
14Mark Derbey Soft-Errors Phenomenon Impacts on Design for Reliability Technologies. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Sanjiv Taneja Accelerating Yield Ramp through Real-Time Testing. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Marc Derbey Soft-Errors Phenomenon Impacts on Design for Reliability Technologies. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Duane S. Boning, Karthik Balakrishnan, Hong Cai, Nigel Drego, Ali Farahanchi, Karen Gettings, Daihyun Lim, Ajay Somani, Hayden Taylor, Daniel Truque, Xiaolin Xie Variation. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Srikanth Venkataraman, Ruchir Puri, Steve Griffith, Ankush Oberai, Robert Madge, Greg Yeric, Walter Ng, Yervant Zorian Making Manufacturing Work For You. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  BibTeX  RDF
14Robert Goldblatt Maps and Monads for Modal Frames. Search on Bibsonomy Stud Logica The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modal map, bounded morphism, descriptive frame, equivalence of categories, reflective subcategory, Kleisli category, duality, monad
14Claudio Menezes, Cristina Meinhardt, Ricardo Reis 0001, Reginaldo Tavares A Regular Layout Approach for ASICs. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14René Penning de Vries EDA challenges in the converging application world. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  BibTeX  RDF
14Tohru Furuyama Deep Sub-100 nm Design Challenges. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Mohamed Azimane High-Quality Memory Test. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Xiaoping Tang, Xin Yuan Technology migration techniques for simplified layouts with restrictive design rules. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Sridhar Tirumala, Yuri Mahotin, Xiao Lin, Victor Moroz, Lee Smith, S. Krishnamurthy, L. Bomholt, Dipu Pramanik Bringing Manufacturing into Design via Process-Dependent SPICE Models. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Ajay Khoche, Peter Muhmenthaler Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Meng-Fan Chang, Kuei-Ann Wen Power and Substrate Noise Tolerance of Configurable Embedded Memories in SoC. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF supply noise, SRAM, substrate noise, ROM
14Rajesh K. Gupta 0001 The other face of design for manufacturability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF design-manufacturing interface, DMI
Displaying result #101 - #200 of 220 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license