The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for MediaBench with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2002 (25) 2003 (18) 2004 (26) 2005 (25) 2006 (23) 2007 (25) 2008-2009 (22) 2010 (2)
Publication types (Num. hits)
article(33) inproceedings(133)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 209 occurrences of 134 keywords

Results
Found 166 publication records. Showing 166 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Yen-Jen Chang, Feipei Lai, Chia-Lin Yang Zero-aware asymmetric SRAM cell for reducing cache power in writing zero. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Raksit Ashok, Saurabh Chheda, Csaba Andras Moritz Coupling compiler-enabled and conventional memory accessing for energy efficiency. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF translation buffers, virtually addressed caches, Energy efficiency
12Zhiyong Xu, Sohum Sohoni, Rui Min, Yiming Hu An Analysis of Cache Performance of Multimedia Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Multimedia applications, memory systems, cache performance, TLB
12Greg Stitt, Frank Vahid, Shawn Nematbakhsh Energy savings and speedups from partitioning critical software loops to hardware in embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, embedded systems, synthesis, platforms, speedup, low energy, Hardware/software partitioning
12Chuanjun Zhang, Frank Vahid, Roman L. Lysecky A self-tuning cache architecture for embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip CAD, embedded systems, low power, Cache, configurable, dynamic optimization, low energy, architecture tuning
12Jun Yang 0002, Rajiv Gupta 0001, Chuanjun Zhang Frequent value encoding for low power data buses. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF I/O pin capacitance, Low power data buses, internal capacitance, encoding, switching
12Yen-Jen Chang, Chia-Lin Yang, Feipei Lai Value-Conscious Cache: Simple Technique for Reducing Cache Access Power. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Chuanjun Zhang, Frank Vahid Using a Victim Buffer in an Application-Specific Memory Hierarchy. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Newton Cheung, Sri Parameswaran, Jörg Henkel, Jeremy Chan MINCE: Matching INstructions Using Combinational Equivalence for Extensible Processor. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Manish Verma, Lars Wehmeyer, Peter Marwedel Cache-Aware Scratchpad Allocation Algorithm. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Chuanjun Zhang, Frank Vahid, Roman L. Lysecky A Self-Tuning Cache Architecture for Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip CAD, embedded systems, low power, Cache, configurable, dynamic optimization, low energy, architecture tuning
12Peter G. Sassone, D. Scott Wills Dynamic Strands: Collapsing Speculative Dependence Chains for Reducing Pipeline Communication. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Cool-Fetch: A Compiler-Enabled IPC Estimation Based Framework for Energy Reduction. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Tom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Francky Catthoor, Henk Corporaal Instruction buffering exploration for low energy VLIWs with instruction clusters. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Arthur Stoutchinin, Guang R. Gao If-Conversion in SSA Form. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Daniel Citron, Gadi Haber, Roy Levin Reducing program image size by extracting frozen code and data. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF feedback directed, frozen code, frozen data, image size
12Kun Zhang 0006, Tao Zhang 0037, Santosh Pande Binary translation to improve energy efficiency through post-pass register re-allocation. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache power consumption, dead registers, register re-allocation, unused registers
12Ying Chen, Karthik Ranganathan, Vasudev V. Pai, David J. Lilja, Kia Bazargan Enhancing the Memory Performance of Embedded Systems with the Flexible Sequential and Random Access Memory. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Chuanjun Zhang, Frank Vahid, Jun Yang 0002, Walid A. Najjar A way-halting cache for low-energy high-performance systems. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power techniques, cache design
12Yau Chin, John Sheu, David M. Brooks Evaluating Techniques for Exploiting Instruction Slack. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Eduardo Braulio Wanderley Netto, Rodolfo Azevedo, Paulo Centoducatte, Guido Araujo Multi-Profile Instruction Based Compression. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Shalini Ghosh, Nur A. Touba, Sugato Basu Reducing Power Consumption in Memory ECC Checkers. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Hongkyu Kim, D. Scott Wills, Linda M. Wills Empirical Analysis of Operand Usage and Transport in Multimedia Applications. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Qiang Wu, Philo Juang, Margaret Martonosi, Douglas W. Clark Formal online methods for voltage/frequency control in multiple clock domain microprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MCD processors, formal methods, dynamic voltage/frequency scaling
12Eduardo Braulio Wanderley Netto, Rodolfo Azevedo, Paulo Centoducatte, Guido Araujo Multi-profile based code compression. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compression, code compression, code density
12Partha Biswas, Vinay Choudhary, Kubilay Atasu, Laura Pozzi, Paolo Ienne, Nikil D. Dutt Introduction of local memory elements in instruction set extensions. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ad-hoc functional units, genetic algorithm, ASIPs, coprocessors, instruction set extensions, customizable processors
12Ann Gordon-Ross, Susan Cotterell, Frank Vahid Tiny instruction caches for low power embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems., fixed program, low power, instruction cache, low energy, architecture tuning, Loop cache, filter cache
12Xiaotong Zhuang, ChokSheak Lau, Santosh Pande Storage assignment optimizations through variable coalescence for embedded processors. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF GOA, variable coalescence, SOA, storage assignment
12Tom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Henk Corporaal, Francky Catthoor Instruction Buffering Exploration for Low Energy Embedded Processors. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Mahesh Mamidipaka, Nikil D. Dutt On-chip Stack Based Memory Organization for Low Power Embedded Architectures. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Paul Marchal, José Ignacio Gómez, Luis Piñuel, Davide Bruni, Luca Benini, Francky Catthoor, Henk Corporaal SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Alberto Macii, Enrico Macii, Fabrizio Crudo, Roberto Zafalon A New Algorithm for Energy-Driven Data Compression in VLIW Embedded Processors. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Data compression algorithms, system-level energy optimization, VLIW embedded processors
12Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria Power-Performance System-Level Exploration of a MicroSPARC2-Based Embedded Architecture. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Embedded Systems, Low-Power, Design Space Exploration
12Steven Swanson, Ken Michelson, Andrew Schwerin, Mark Oskin WaveScalar. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Local Scheduling Techniques for Memory Coherence in a Clustered VLIW Processor with a Distributed Data Cache. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Desiree Ottoni, Guilherme Ottoni, Guido Araujo, Rainer Leupers Improving Offset Assignment through Simultaneous Variable Coalescing. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Bernard Goossens The Instruction Register File. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Marcio Buss, Tony Givargis, Nikil D. Dutt Exploring Efficient Operating Points for Voltage Scaled Embedded Processor Cores. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Montserrat Ros, Peter Sutton Compiler optimization and ordering effects on VLIW code compression. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compiler optimizations, VLIW, code compression
12Ankush Varma, Brinda Ganesh, Mainak Sen, Suchismita Roy Choudhury, Lakshmi Srinivasan, Bruce L. Jacob A control-theoretic approach to dynamic voltage scheduling. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nqPID, low-power, dynamic voltage scaling, PID
12Chuanjun Zhang, Frank Vahid Cache Configuration Exploration on Prototyping Platforms. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems, low power, memory hierarchy, low energy, architecture tuning, Configurable cache, system-level exploration
12Keith D. Cooper, Li Xu Memory Redundancy Elimination to Improve Application Energy Efficiency. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Chuanjun Zhang, Frank Vahid, Walid A. Najjar A Highly-Configurable Cache Architecture for Embedded Systems. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, microprocessor, configurable, low energy, architecture tuning
12Seda Ogrenci Memik, Gokhan Memik, Roozbeh Jafari, Eren Kursun Global resource sharing for synthesis of control data flow graphs on FPGAs. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF control data flow graph, FPGA, resource sharing
12Greg Semeraro, David H. Albonesi, Steve Dropsho, Grigorios Magklis, Sandhya Dwarkadas, Michael L. Scott Dynamic frequency and voltage control for a multiple clock domain microarchitecture. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Greg Semeraro, Grigorios Magklis, Rajeev Balasubramonian, David H. Albonesi, Sandhya Dwarkadas, Michael L. Scott Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Off-Line Analysis Tool, Dynamic Reconfiguration Algorithm, Low Power, Dynamic Voltage and Frequency Scaling, Multiple Clock Domain
12Kelvin Lin, Jean Jyh-Jiun Shann, Chung-Ping Chung Code Compression by Register Operand Dependency. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Dictionary-based compression, Code compression
12Linda M. Wills, Tarek M. Taha, Lewis Benton Baumstark Jr., D. Scott Wills Estimating Potential Parallelism for Platform Retargeting. Search on Bibsonomy WCRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Zhiyuan Li 0001, Cheng Wang 0019, Rong Xu Task Allocation for Distributed Multimedia Processing on Wirelessly Networked Handheld Devices. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Guido Araujo, Sharad Malik, Zhining Huang, Nahri Moreano Datapath Merging and Interconnection Sharing for Reconfigurable Architectures. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high level and architectural synthesis, reconfigurable computing
12Enric Gibert, F. Jesús Sánchez, Antonio González 0001 An interleaved cache clustered VLIW processor. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF attraction buffers, modulo scheduling, VLIW processors, distributed cache, clustered microarchitectures
12Esther Salamí, Jesús Corbal, Carlos Álvarez 0001, Mateo Valero Cost effective memory disambiguation for multimedia codes. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multimedia, VLIW, run-time analysis, time-to-market, memory disambiguation
12Eren Kursun, Ankur Srivastava 0001, Seda Ogrenci Memik, Majid Sarrafzadeh Early evaluation techniques for low power binding. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF metric evaluation, scheduling, low power design, resource binding
12Vishal P. Bhatt, M. Balakrishnan, Anshul Kumar Exploring the Number of Register Windows in ASIP Synthesis. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Regular language recognition, Processor and memory configuration, ASIP Synthesis, Context switch, Register windows
12Raksit Ashok, Saurabh Chheda, Csaba Andras Moritz Cool-Mem: combining statically speculative memory accessing with selective address translation for energy efficiency. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Darko Kirovski, Milenko Drinic, Miodrag Potkonjak Enabling trusted software integrity. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Wen-Tsong Shiue, Sathishkumar Udayanarayanan, Chaitali Chakrabarti Data memory design and exploration for low-power embedded systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Data cache, search space pruning
12Bjorn De Sutter, Bruno De Bus, Koenraad De Bosschere, Saumya K. Debray Combining Global Code and Data Compaction. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ramadass Nagarajan, Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler A design space evaluation of grid processor architectures. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Emmett Witchel, Samuel Larsen, C. Scott Ananian, Krste Asanovic Direct addressed caches for reduced power consumption. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12John W. Sias, Hillery C. Hunter, Wen-mei W. Hwu Enhancing loop buffering of media and telecommunications applications using low-overhead predication. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Krishnan Kailas, Kemal Ebcioglu, Ashok K. Agrawala CARS: A New Code Generation Framework for Clustered ILP Processors. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Sohum Sohoni, Rui Min, Zhiyong Xu, Yiming Hu A study of memory system performance of multimedia applications. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12William Fornaciari, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria Fast system-level exploration of memory architectures driven by energy-delay metrics. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mihai Budiu, Majd F. Sakr, Kip Walker, Seth Copen Goldstein BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations. Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Xianfeng Zhou, Margaret Martonosi Augmenting Modern Superscalar Architectures with Configurable Extended Instructions. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #166 of 166 (100 per page; Change: )
Pages: [<<][1][2]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license