The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLIW with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1989 (16) 1990-1991 (18) 1992-1993 (31) 1994 (15) 1995-1996 (31) 1997 (30) 1998 (24) 1999 (38) 2000 (54) 2001 (53) 2002 (67) 2003 (58) 2004 (61) 2005 (88) 2006 (71) 2007 (84) 2008 (55) 2009 (30) 2010 (25) 2011 (27) 2012 (31) 2013 (25) 2014 (29) 2015 (21) 2016 (21) 2017 (26) 2018-2019 (26) 2020-2022 (16) 2023-2024 (5)
Publication types (Num. hits)
article(258) book(2) incollection(4) inproceedings(786) phdthesis(26)
Venues (Conferences, Journals, ...)
MICRO(44) DATE(38) ICCD(24) DAC(22) ASAP(21) CASES(19) IEEE Trans. Computers(17) IEEE Trans. Very Large Scale I...(15) IPDPS(15) ASP-DAC(14) ISSS(14) J. Signal Process. Syst.(13) VLSI Design(13) Euro-Par(12) IEEE PACT(12) ISCAS(12) More (+10 of total 312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 908 occurrences of 401 keywords

Results
Found 1076 publication records. Showing 1076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
43Natalino G. Busá, Albert van der Werf, Marco Bekooij Scheduling Coarse-Grain Operations for VLIW Processors. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
43Morteza Biglari-Abhari, Kamran Eshraghian, Michael J. Liebelt Improving Binary Compatibility in VLIW Machines through Compiler Assisted Dynamic Rescheduling. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
43Catherine H. Gebotys, Robert J. Gebotys, S. Wiratunga Power minimization derived from architectural-usage of VLIW processors. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
43Rainer Leupers Exploiting Conditional Instructions in Code Generation for Embedded VLIW Processors. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43Kemal Ebcioglu, Erik R. Altman, Sumedh W. Sathaye, Michael Gschwind Execution-Based Scheduling for VLIW Architectures. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF INSTRUCTION-LEVEL PARALLELISM, SUPERSCALAR, BINARY TRANSLATION, DYNAMIC COMPILATION
43Mladen Berekovic, Peter Pirsch, Johannes Kneip An Algorithm-Hardware-System Approach to VLIW Multimedia Processors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
43Esther Stümpel, Michael Thies, Uwe Kastens VLIW Compilation Techniques for Superscalar Architectures. Search on Bibsonomy CC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
43Robert P. Colwell, Robert P. Nix, John J. O'Donnell, David B. Papworth, Paul K. Rodman A VLIW Architecture for a Trace Scheduling Compiler. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
42Alex K. Jones, Raymond Hoare, Dara Kusic, Gayatri Mehta, Joshua Fazekas, John Foster 0001 Reducing power while increasing performance with supercisc. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low-power, synthesis, VLIW, predication, multicore architectures
42Nan Wu 0003, Mei Wen, Ju Ren 0002, Yi He 0008, Chunyuan Zhang Register Allocation on Stream Processor with Local Register File. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF local register file, spilling, register allocation, VLIW, stream processor
42Catherine H. Gebotys Design of secure cryptography against the threat of power-attacks in DSP-embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLIW
42Catherine H. Gebotys, Robert J. Gebotys Optimized mapping of video applications to hardware-software for VLSI architectures. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF integer optimization, video computations, video systems, optimized mapping, scheduling, VLSI, optimisation, VLSI architectures, video signal processing, VLIW processor
42Manoj Franklin, Mark Smotherman A fill-unit approach to multiple instruction issue. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiple operation issue, instruction-level parallelism, VLIW, superscalar
39Vincenzo Catania, Maurizio Palesi, Davide Patti Reducing complexity of multiobjective design space exploration in VLIW-based embedded systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hyperblock formation, genetic algorithms, performances, statistical analysis, power, energy, design space exploration, multiobjective optimization, ILP, VLIW architectures
39Wonchul Lee, Hyojin Choi, Wonyong Sung Algorithm and Software Optimization of Variable Block Size Motion Estimation for H.264/AVC on a VLIW-SIMD DSP. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variable block size motion estimation, H.264/AVC encoder, VLIW (very long instruction word), SIMD (single instruction multiple data)
39Wei Zhang 0002, Yuh-Fang Tsai, David Duarte, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Reducing dynamic and leakage energy in VLIW architectures. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic energy, schedule slacks, compiler, VLIW architecture, leakage energy
39Zili Shao, Bin Xiao 0001, Chun Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha Loop scheduling with timing and switching-activity minimization for VLIW DSP. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction bus optimization, low-power optimization, compilers, software pipelining, VLIW, retiming, instruction scheduling, loops
39Won So, Alexander G. Dean Reaching fast code faster: using modeling for efficient software thread integration on a VLIW DSP. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TI C6000, static profitability estimation, DSP, software pipelining, VLIW, iterative compilation, software thread integration
39Rahul Nagpal, Y. N. Srikant Integrated temporal and spatial scheduling for extended operand clustered VLIW processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF spatial scheduling, temporal scheduling, clustered VLIW processors
39Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Adapting instruction level parallelism for optimizing leakage in VLIW architectures. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power supply gating, instruction level parallelism, instruction scheduling, VLIW architecture, leakage energy, functional units
39Montserrat Ros, Peter Sutton Compiler optimization and ordering effects on VLIW code compression. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compiler optimizations, VLIW, code compression
39Viktor S. Lapinskii, Margarida F. Jacome, Gustavo de Veciana Cluster assignment for high-performance embedded VLIW processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Operation binding, clustered VLIW datapaths, embedded systems, partitioning, embedded processors
39Yi Qian, Steve Carr 0001, Philip H. Sweany Loop fusion for clustered VLIW architectures. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clustered VLIW architectures, loop fusion
39M. Balakrishnan, Anshul Kumar, Paolo Ienne, Anup Gangwar, Bhuvan Middha A Trimaran Based Framework for Exploring the Design Space of VLIW ASIPs with Coarse Grain Functional Units. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Trimaran, performance, design space exploration, VLIW, ASIP
39David López 0001, Josep Llosa, Mateo Valero, Eduard Ayguadé Cost-Conscious Strategies to Increase Performance of Numerical Programs on Aggressive VLIW Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF numerical applications, performance/cost trade-off, instruction level parallelism, software pipelining, VLIW processors
39Elana D. Granston, Eric Stotzer, Joe Zbiciak Software Pipelining Irregular Loops on the TMS320C6000 VLIW DSP Architecture. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF WHILE loops, software pipelining, digital signal processors, VLIW architectures
39Cagdas Akturan, Margarida F. Jacome RS-FDRA: a register sensitive software pipelining algorithm for embedded VLIW processors. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded systems, software pipelining, retiming, optimizing compilers, VLIW processors
39Cristiana Bolchini, Fabio Salice A Software Methodology for Detecting Hardware Faults in VLIW Data Paths. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Software code scheduling, VLIW processors, Hardware fault detection
39Thomas M. Conte, Sumedh W. Sathaye Properties of Rescheduling Size Invariance for Dynamic Rescheduling-Based VLIW Cross-Generation Compatibility. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction-set encoding, list encoding, VLIW, Microarchitecture, processor architecture, instruction cache
39Thomas M. Conte, Sanjeev Banerjia, Sergei Y. Larin, Kishore N. Menezes, Sumedh W. Sathaye Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF TINKER experimental testbed, compressed encodings, compressed instruction encoding, i-fetch hardware, instruction fetch mechanisms, instruction words, multiple instruction issue, silo cache, parallel architectures, trace-driven simulations, instruction cache, VLIW architectures
39Thomas M. Conte, Sumedh W. Sathaye, Sanjeev Banerjia A Persistent Rescheduled-page Cache for Low Overhead Object Code Compatibility in VLIW Architectures. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF LRU replacement, disk caching scheme, dynamic rescheduling, first-time page faults, high-overhead programs, low overhead object code compatibility, overhead-based replacement, page replacement policies, persistent rescheduled-page cache, run-time software rescheduling, simulations, cache storage, VLIW architectures, program executions, operating system support, program performance
39Seong-Uk Choi, Sung-Soon Park, Myong-Soon Park Scheduling of conditional branches using SSA form for superscalar/VLIW processors. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF conditional branches scheduling, very long instruction word processors, compensation code, optimization, computational complexity, complexity, parallel architectures, processor scheduling, superscalar processors, instruction sets, instruction set, VLIW processors, code motion, global scheduling, conditional branches, SSA
39Seong-Uk Choi, Sung-Soon Park, Myong-Soon Park Eliminating Conditional Branches for Enhancing Instruction Level Parallelism in VLIW Compiler. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Compiler, Instruction Level Parallelism, VLIW, Superscalar, Conditional Branches
39Kemal Ebcioglu, Randy D. Groves, Ki-Chang Kim, Gabriel M. Silberman, Isaac Ziv VLIW Compilation Techniques in a Superscalar Environment. Search on Bibsonomy PLDI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF profiling directed feedback, compiler optimizations, software pipelining, VLIW, superscalars, global scheduling, IBM RS/6000
39Scott A. Mahlke, William Y. Chen, Roger A. Bringmann, Richard E. Hank, Wen-mei W. Hwu, B. Ramakrishna Rau, Michael S. Schlansker Sentinel Scheduling for VLIW and Superscalar Processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF exception detection, exception recovery, instruction-level parallelism, instruction scheduling, speculative execution, superscalar processor, VlIW processor
36Guillermo Payá Vayá, Javier Martín-Langerwerf, Piriya Taptimthong, Peter Pirsch Design Space Exploration of Media Processors: A Parameterized Scheduler. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Michael Dupré, Nathalie Drach, Olivier Temam VHC: Quickly Building an Optimizer for Complex Embedded Architectures. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Yangyang Pan, Tong Zhang 0002 Improving VLIW Processor Performance Using Three-Dimensional (3D) DRAM Stacking. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Talal Bonny, Jörg Henkel LICT: left-uncompressed instructions compression technique to improve the decoding performance of VLIW processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF embedded systems, code compression, Huffman coding
34Benoît Dupont de Dinechin Inter-block Scoreboard Scheduling in a JIT Compiler for VLIW Processors. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Chun-Nan Liu, Jui Hong Hung, Tsung-Han Tsai 0001 Optimization techniques of AAC decoder on PACDSP VLIW processor. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Meng Wang 0005, Zili Shao, Hui Liu 0006, Chun Jason Xue Minimizing Leakage Energy with Modulo Scheduling for VLIW DSP Processors. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Hung-Chuan Lai, Shi-Jinn Horng, Yung-Yuan Chen An Online Control Flow Check for VLIW Processor. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Yuan Xie 0001, Wayne H. Wolf, Haris Lekatsas Code Decompression Unit Design for VLIW Embedded Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Chang Hong Lin, Yuan Xie 0001, Wayne H. Wolf Code Compression for VLIW Embedded Systems Using a Self-Generating Table. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Rahul Nagpal, Y. N. Srikant Compiler-Assisted Instruction Decoder Energy Optimization for Clustered VLIW Architectures. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Guillermo Payá Vayá, Javier Martín-Langerwerf, Piriya Taptimthong, Peter Pirsch Design Space Exploration of Media Processors: A Generic VLIW Architecture and a Parameterized Scheduler. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Min Li 0001, Bruno Bougard, David Novo, Liesbet Van der Perre, Francky Catthoor A Wavelet-FFT Based Efficient Sparse OFDMA Demodulator and Its Implementation on VLIW Architecture. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Meng Wang 0005, Zili Shao, Chun Xue, Edwin Hsing-Mean Sha Real-Time Loop Scheduling with Leakage Energy Minimization for Embedded VLIW DSP Processors. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Pi-Chen Hsiao, Tay-Jyi Lin, Chih-Wei Liu, Chein-Wei Jen Latency-Tolerant Virtual Cluster Architecture for VLIW DSP. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Abhishek Pillai, Wei Zhang 0002, Laurence Tianruo Yang Exploring Functional Unit Design Space of VLIW Processors for Optimizing Both Performance and Energy Consumption. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Shuming Chen, Xiao Hu, Biwei Liu, Jihua Chen An On-Line Control Flow Checking Method for VLIW Processor. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Chan-Hao Chang, Diana Marculescu Design and Analysis of a Low Power VLIW DSP Core. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Andrzej Bednarski, Christoph W. Kessler Optimal Integrated VLIW Code Generation with Integer Linear Programming. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Ricardo Santos 0002, Rodolfo Azevedo, Guido Araujo Exploiting dynamic reconfiguration techniques: the 2D-VLIW approach. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Kostas Masselos, Yiannis Andreopoulos, Thanos Stouraitis Execution time comparison of lifting-based 2D wavelet transforms implementations on a VLIW DSP. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Yung-Yuan Chen, Kuen-Long Leu, Chao-Sung Yeh Fault-Tolerant VLIW Processor Design and Error Coverage Analysis. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Alok Kumar Pani, Ratnam V. Raja Kumar Optimized VLIW Architecture for Non-zero IF QAM-Modem Implementations. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Domenico Barretta, William Fornaciari, Mariagiovanna Sami, Daniele Bagni Multithreaded Extension to Multicluster VLIW Processors for Embedded Applications. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Anup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, Davide Patti A system-level framework for evaluating area/performance/power trade-offs of VLIW-based embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Yue Li, Tao Li 0006 Bioinformatics on Embedded Systems: A Case Study of Computational Biology Applications on VLIW Architecture. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Shu Xiao 0001, Edmund Ming-Kit Lai, A. Benjamin Premkumar Rule-Based Power-Balanced VLIW Instruction Scheduling with Uncertainty. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Arnaldo Azevedo, Luciano Volcan Agostini, Flávio Rech Wagner, Sergio Bampi Accelerating a Multiprocessor Reconfigurable Architecture with Pipelined VLIW Units. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Yung-Chia Lin, Chung-Lin Tang, Chung-Ju Wu, Ming-Yu Hung, Yi-Ping You, Ya-Chiao Moo, Sheng-Yuan Chen, Jenq Kuen Lee Compiler Supports and Optimizations for PAC VLIW DSP Processors. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, Davide Patti Hyperblock formation: a power/energy perspective for high performance VLIW architectures. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, Davide Patti Exploring Design Space of VLIW Architectures. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Tay-Jyi Lin, Chie-Min Chao, Chia-Hsien Liu, Pi-Chen Hsiao, Shin-Kai Chen, Li-Chun Lin, Chih-Wei Liu, Chein-Wei Jen A unified processor architecture for RISC & VLIW DSP. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-core processor, register organization, variable-length instruction encoding, digital signal processor
34Esther Salamí, Mateo Valero Initial Evaluation of Multimedia Extensions on VLIW Architectures. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Bingfeng Mei, Serge Vernalde, Diederik Verkest, Rudy Lauwereins Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Chang Hong Lin, Yuan Xie 0001, Wayne H. Wolf LZW-Based Code Compression for VLIW Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Yuki Kobayashi, Shinsuke Kobayashi, Koji Okuda, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai Synthesizable HDL generation method for configurable VLIW processors. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Rahul Nagpal, Y. N. Srikant A Graph Matching Based Integrated Scheduling Framework for Clustered VLIW Processors. Search on Bibsonomy ICPP Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Yung-Yuan Chen, Kun-Feng Chen Incorporating Signature-Monitoring Technique in VLIW Processors. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, Davide Patti Multi-objective Optimization of a Parameterized VLIW Architecture. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Sourabh Saluja, Anshul Kumar Performance Analysis of Inter Cluster Communication Methods in VLIW Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Cristiana Bolchini A software methodology for detecting hardware faults in VLIW data paths. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Satish Pillai, Margarida F. Jacome Compiler-Directed ILP Extraction for Clustered VLIW/EPIC Machines: Predication, Speculation and Modulo Scheduling. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Yung-Yuan Chen, Shi-Jinn Horng, Hung-Chuan Lai An Integrated Fault-Tolerant Design Framework for VLIW Processors. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Rohini Krishnan, Om Prakash Gangwal, Jos T. J. van Eijndhoven, Anshul Kumar Design of a 2D DCT/IDCT application specific VLIW processor supporting scaled and sub-sampled blocks. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon Low-power data forwarding for VLIW embedded architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Viktor S. Lapinskii, Margarida F. Jacome, Gustavo de Veciana Application-specific clustered VLIW datapaths: early exploration on a parameterized design space. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Effective instruction scheduling techniques for an interleaved cache clustered VLIW processor. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Haris Lekatsas, Wayne H. Wolf, Yuan Xie 0001 Code Compression for VLIW Processors Using Variable-to-Fixed Coding. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF assembly-level analysis, performance estimation, superscalar architectures
34Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Modulo scheduling with integrated register spilling for clustered VLIW architectures. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Rainer Leupers Instruction Scheduling for Clustered VLIW DSPs. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Gunter Haug, Udo Kebschull, Wolfgang Rosenstiel A Hardware Platform for VLIW Based Emulation of Digital Designs. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria Instruction-level power estimation for embedded VLIW cores. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Margarida F. Jacome, Gustavo de Veciana Lower bound on latency for VLIW ASIP datapaths. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Jae-Woo Ahn, Soo-Mook Moon, Wonyong Sung An Efficient Compiled Simulation System for VLIW Code Verification. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Soohong P. Kim, Raymond Hoare, Henry G. Dietz VLIW Across Multiple Superscalar Processors on a Single Chip. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34R. Milikowski, Willem G. Vree Non-homogeneous Parallel Memory Operations in a VLIW Machine. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
34Scott A. Mahlke, William Y. Chen, Wen-mei W. Hwu, B. Ramakrishna Rau, Michael S. Schlansker Sentinel Scheduling for VLIW and Superscalar Processors. (long version: TOCS 11(4): 376-408) Search on Bibsonomy ASPLOS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
34Robert P. Colwell, W. Eric Hall, Chandra S. Joshi, David B. Papworth, Paul K. Rodman, James E. Tornes Architecture and implementation of a VLIW supercomputer. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
34Toshio Nakatani, Kemal Ebcioglu "Combining" as a compilation technique for VLIW architectures. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
34Monica Lam 0001 Software Pipelining: An Effective Scheduling Technique for VLIW Machines. Search on Bibsonomy PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
33Peter Rounce, Alberto Ferreira de Souza Dynamic Instruction Scheduling in a Trace-based Multi-threaded Architecture. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simultaneous multi-threading, Wide issue architectures, VLIW, Dynamic instruction scheduling
33Ya-Shuai Lü, Li Shen 0007, Libo Huang, Zhiying Wang 0003, Nong Xiao Customizing computation accelerators for extensible multi-issue processors with effective optimization techniques. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF subgraph covering, VLIW, ASIPs, extensible processors
33Won So, Alexander G. Dean Complementing software pipelining with software thread integration. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF TI C6000, DSP, software pipelining, VLIW, stream programming, coarse-grain parallelism, software thread integration
33Gayathri Krishnamurthy, Elana D. Granston, Eric Stotzer Affinity-based cluster assignment for unrolled loops. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF affinity-based clustering (ABC) algorithms, homogeneous clusters, partitioned register files, software pipelining, loop optimizations, loop scheduling, VLIW architectures, loop unrolling, cluster assignment
Displaying result #101 - #200 of 1076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license