The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for analog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1954 (19) 1955-1956 (22) 1957 (21) 1958-1959 (24) 1960 (15) 1961 (17) 1962 (25) 1963 (18) 1964 (19) 1965 (21) 1966-1967 (34) 1968 (25) 1969-1970 (21) 1971-1972 (16) 1973-1974 (15) 1975-1976 (22) 1977-1978 (22) 1979 (18) 1980-1981 (28) 1982 (18) 1983 (17) 1984 (21) 1985 (17) 1986 (20) 1987 (16) 1988 (59) 1989 (59) 1990 (76) 1991 (77) 1992 (83) 1993 (127) 1994 (173) 1995 (177) 1996 (188) 1997 (171) 1998 (234) 1999 (337) 2000 (261) 2001 (304) 2002 (364) 2003 (453) 2004 (470) 2005 (587) 2006 (656) 2007 (627) 2008 (565) 2009 (400) 2010 (381) 2011 (400) 2012 (457) 2013 (438) 2014 (431) 2015 (494) 2016 (488) 2017 (512) 2018 (543) 2019 (551) 2020 (512) 2021 (553) 2022 (580) 2023 (634) 2024 (147)
Publication types (Num. hits)
article(5354) book(29) data(13) incollection(38) inproceedings(8474) phdthesis(171) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2846 occurrences of 1432 keywords

Results
Found 14080 publication records. Showing 14080 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
36Daniele Bonomi, Giorgio Boselli, Gabriella Trucco, Valentino Liberali Effects of digital switching noise on analog voltage references in mixed-signal CMOS ICs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk, mixed-signal ICs
36Tyson S. Hall, Christopher M. Twigg, Paul E. Hasler, David V. Anderson Developing Large-Scale Field-Programmable Analog Arrays. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36T. Salim, John C. Devlin, Jim Whittington Analog Conversion for FPGA Implementation of the TIGER Transmitter using a 14 bit DAC. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Alex Doboli, Ranga Vemuri Behavioral modeling for high-level synthesis of analog and mixed-signal systems from VHDL-AMS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Binlin Guo, Jiarong Tong A SC-based novel configurable analog cell. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin A Statistical Sampler for a New On-line Analog Test Method. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Naveena Nagi, Abhijit Chatterjee, Heebyung Yoon, Jacob A. Abraham Signature analysis for analog and mixed-signal circuit test response compaction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Jan Sevenhans, Didier Haspeslagh, Jacques Wenin Wireless telecom silicon integration: analog design for radio, baseband and speech spectrum. Search on Bibsonomy Wirel. Networks The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Nai-Chi Lee A hierarchical analog test bus framework for testing mixed-signal integrated circuits and printed circuit boards. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
36Chandramouli Visweswariah, Rakesh Chadha, Chin-Fu Chen Model Development and Verification for High Level Analog Blocks. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
36Jaeha Kim Mixed-Signal System Verification: A High-Speed Link Example. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF analog and mixed-signal verification, analog design intent, linear system models
36DongHyun Ko, Ji-Hoon Jung, YoungGun Pu, Sang-Kyung Sung, Kang-Yoon Lee, Chul Nam A Design of 14-bits ADC and DAC for CODEC Applications in 0.18 µm CMOS Process. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ADC(Analog-to-Digital Converter), DAC (Digital-to-Analog Converter), Sigma-Delta Modulator
36José Vicente Calvano, Vladimir Castro Alves, Marcelo Lubaszewski Testing a PWM circuit using functional fault models and compact test vectors for operational amplifiers. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF PWM circuit, compact test vectors, analog VLSI technology, functional fault macromodels, compact test vector construction, open loop gain, CMMR, analog circuit simulation complexity, VLSI, integrated circuit testing, design for testability, automatic test pattern generation, fault modeling, fault simulation, fault simulation, operational amplifiers, operational amplifiers, test pattern, functional fault models, analogue circuits, fault dictionary, pulse width modulation, slew-rate
36Naim Ben-Hamida, Khaled Saab 0001, David Marche, Bozena Kaminska A perturbation based fault modeling and simulation for mixed-signal circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF analog circuit fault simulation, perturbation fault model, fault abstraction, structural fault modeling, perturbation estimation, fault observation, hierarchical analog fault simulator, complexity, test generation, CMOS, mixed-signal circuits, mixed analogue-digital integrated circuits, functional fault modeling, physical defects
36Michiel de Bakker, Piet W. Verbeek, Gijs K. Steenvoorden Design Considerations for a Range Image Sensor Containing a PSD-array and An On-chip Multiplexer. Search on Bibsonomy 3DIM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF range image sensor, PSD-array, on-chip multiplexer, PSD-chip, light range imaging, analog preamplifiers, analog current multiplexer, image sensors, image sensor, low-pass filtering
35Rosa Rodríguez-Montañés, D. Muñoz, Luz Balado, Joan Figueras Analog Switches in Programmable Analog Devices: Quiescent Defective Behaviours. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Analog Switch, DC defective behaviour, DC test, open defect, bridging defect
34Fernando De Bernardinis, Pierluigi Nuzzo 0001, Pierangelo Terreni, Alberto L. Sangiovanni-Vincentelli Enriching an analog platform for analog-to-digital converter design. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Joachim Becker, Fabian Henrici, Yiannos Manoli System-Level Analog Simulation of a Mixed-Signal Continuous-Time Field Programmable Analog Array. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34José Franco Machado do Amaral, Jorge Luís Machado do Amaral, Cristina Costa Santini, Marco Aurélio Cavalcanti Pacheco, Ricardo Tanscheit, Moisés H. Szwarcman Intrinsic Evolution of Analog Circuits on a Programmable Analog Multiplexer Array. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Mario Costa, Davide Palmisano, Eros Pasero NESP2: a Low Power Analog NEural Signal Processor with Analog Weight Storage. Search on Bibsonomy IJCNN (4) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Hugo de Lemos Haas, José Gabriel Rodríguez Carneiro Gomes, Antonio Petraglia Analog hardware implementation of a vector quantizer for focal-plane image compression. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS analog hardware, analog image processing, vector quantization
34Amir Zjajo, José Pineda de Gyvez, Guido Gronthoud Structural Fault Modeling and Fault Detection Through Neyman-Pearson Decision Criteria for Analog Integrated Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF structural fault modeling, analog fault modeling, Neyman-Pearson decision, fault detection, analog test, supply current monitoring
34Miguel Angel Domínguez, José L. Ausín, J. Francisco Duque-Carrillo, Guido Torelli A 1-MHz Area-Efficient On-Chip Spectrum Analyzer for Analog Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog built-in self-test, analog IC test, on-chip spectrum analyzer, switched-capacitor circuits, non-uniform sampling
34Michel Morneau, Abdelhakim Khouas TBSA: Threshold-Based Simulation Accuracy Method for Fast Analog DC Fault Simulation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DC fault simulation, analog fault detection, Newton-Raphson algorithm, analog testing
34Haralampos-G. D. Stratigopoulos, Yiorgos Makris An Analog Checker with Input-Relative Tolerance for Duplicate Signals. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF analog checkers, on-line test, analog test, concurrent test
34Jan Crols, Stéphane Donnay, Michiel Steyaert, Georges G. E. Gielen A high-level design and optimization tool for analog RF receiver front-ends. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analog CAD, analog high-level synthesis, RF design and optimization
34Stephen K. Sunter A low cost 100 MHz analog test bus. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analog test bus, on-chip analog bus, digital three-state inverter, low-input capacitance, signal bandwidth, bus input, design for testability, DFT, integrated circuit design, mixed-signal circuits, capacitance, mixed analogue-digital integrated circuits, IC design, 100 MHz
34Valeriu Beiu A Novel Highly Reliable Low-Power Nano Architecture When von Neumann Augments. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Abhijit Chatterjee, Naveena Nagi Design for Testability and Built-In Self-Test of Mixed-Signal Circuits: A Tutorial. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
33Brandon Rumberg, David W. Graham, Vinod Kulathumani Hibernets: energy-efficient sensor networks using analog signal processing. Search on Bibsonomy IPSN The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog signal processing, selective wake up, sensor networks, energy-efficient, in-network processing
33Brandon Rumberg, David W. Graham, Vinod Kulathumani Hibernets: energy-efficient sensor networks using analog signal processing. Search on Bibsonomy IPSN The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog signal processing, selective wake up, sensor networks, energy-efficient, in-network processing
33Qiang Gao, Yin Shen, Yici Cai, Hailong Yao Analog circuit shielding routing algorithm based on net classification. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog routing, shielding routing, A* algorithm
33Xuening Sun, Pierluigi Nuzzo 0002, Chang-Ching Wu, Alberto L. Sangiovanni-Vincentelli Contract-based system-level composition of analog circuits. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF integration, composition, contract, system, analog, UWB, platform, platform-based design, radio-frequency, assume-guarantee
33Fang Liu 0029, Sule Ozev, Plamen K. Nikolov Parametric variability analysis for multistage analog circuits using analytical sensitivity modeling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hierarchical variance analysis, parameter correlations, performance model, process variations, analog circuits
33Emilia Sipos, Lelia Festila, Gabriel Oltean Towards Reconfigurable Circuits Based on Ternary Controlled Analog Multiplexers/Demultiplexers. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Analog multiplexer, reconfigurable circuit, transmission gate, CMOS transistors, SUS-LOC
33Saurabh Sinha, Asha Balijepalli, Yu Cao A Simplified Model of Carbon Nanotube Transistor with Applications to Analog and Digital Design. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Schottky barrier, analog design metrics, modeling, CNT
33Tiago R. Balen, José Vicente Calvano, Marcelo Lubaszewski, Michel Renovell Built-In Self-Test of Field Programmable Analog Arrays based on Transient Response Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Analog built-in self-test, Transient response analysis, FPAA
33Di Long, Xianlong Hong, Sheqin Dong Signal-path driven partition and placement for analog circuit. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog placement, device merging, layout automation, signal-path, symmetry constrain, circuit partition
33Tiago R. Balen, José Vicente Calvano, Marcelo Lubaszewski, Michel Renovell Functional Test of Field Programmable Analog Arrays. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog built-in self-test, transient response analysis, FPAA
33Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 Frequency Specification Testing of Analog Filters Using Wavelet Transform of Dynamic Supply Current. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog filter, trim bit, dynamic supply current (IDD), wavelet transform, frequency response
33Viera Stopjaková, Pavol Malosek, Daniel Micusík, Marek Matej, Martin Margala Classification of Defective Analog Integrated Circuits Using Artificial Neural Networks. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF artificial neural networks, analog test, catastrophic faults, supply current monitoring
33Hajime Shibata, Adrian Stoica, Nobuo Fujii Controllable decoding for automated analog circuit structure design. Search on Bibsonomy Soft Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Automated circuit synthesis, Genetic algorithm, Analog circuit
33Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 Frequency Specification Testing of Analog Filters Using Wavelet Transform of Dynamic Supply Current. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Analog Filer, Trim Bit, Dynamic Supply Current (IDD), Wavelet Transform
33L. Cassol, O. Betat, Luigi Carro, Marcelo Lubaszewski The SigmaDelta-BIST Method Applied to Analog Filters. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF BIST, analog test, mixed signal testing, sigma-delta modulator
33Sule Ozev, Alex Orailoglu Statistical Tolerance Analysis for Assured Analog Test Coverage. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF tolerance analysis, test signal propagation, statistical analysis, analog test
33Maria del Mar Hershenson Efficient description of the design space of analog circuits. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, verification, synthesis, analog, circuits, convex programming, geometric program
33Xin Li 0001, Peng Li 0001, Yang Xu 0017, Lawrence T. Pileggi Analog and RF circuit macromodels for system-level analysis. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF analog/RF circuits, macromodel
33M. A. El-Gamal Genetically Evolved Neural Networks for Fault Classification in Analog Circuits. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault grouping, Genetically evolved neural networks, Genetic algorithms, Fault simulation, Analog circuits, Fault classification
33Sree Ganesan, Ranga Vemuri A Methodology for Rapid Prototyping of Analog Systems. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF rapid prototyping, technology mapping, placement and routing, FPAA, field-programmable analog arrays
33Ignacio S. McQuirk, Berthold K. P. Horn, Hae-Seung Lee, John L. Wyatt Jr. Estimating the Focus of Expansion in Analog VLSI. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF passive navigation, analog VLSI, motion vision, focus of expansion
33Ralf Rosenberger, Sorin A. Huss A Systems Theoretic Approach to Behavioural Modeling and Simulation of Analog Functional Blocks. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF analog modeling, simulation methodologies
33Firas Mohamed, Meryem Marzouki Test and diagnosis of analog circuits: When fuzziness can lead to accuracy. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF analog test and diagnosis, AI approaches, fuzzy logic
33Marcelo Lubaszewski, Salvador Mir, Leandro Pulz ABILBO: Analog BuILt-in Block Observer. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF built-in self-test, design for test, analog and mixed-signal testing
33Yeong-Ruey Shieh, Cheng-Wen Wu DC control and observation structures for analog circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF level-sensitive scan-design, test points, DC voltage levels, diagnosis capability, calibration process, read-out voltage levels, VLSI, VLSI, fault diagnosis, controllability, controllability, integrated circuit testing, calibration, observability, observability, analog circuits, mixed signal circuits, mixed analogue-digital integrated circuits
33George A. Hadgis, P. R. Mukund A novel CMOS monolithic analog multiplier with wide input dynamic range. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analogue multipliers, circuit feedback, CMOS monolithic analog multiplier, input dynamic range, voltage-controlled variable linear resistor, feedback network, PSpice simulation results, circuit analysis computing, linearity, SPICE, operational amplifiers, operational amplifier, CMOS analogue integrated circuits
33Mounir Fares, Bozena Kaminska Fuzzy optimization models for analog test decisions. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Analog circuits, test selection, parametric optimization, fuzzy decision-making
31ByongChan Lim, Jaeha Kim, Mark A. Horowitz An efficient test vector generation for checking analog/mixed-signal functional models. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF linear abstraction, validation, equivalence checking, verilog, functional model, test vector, mixed-signal circuits
31Wolfgang Eberle, Michaël Goffioul A scalable low-power digital communication network architecture and an automated design path for controlling the analog/RF part of SDR transceivers. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Thomas Jacob Koickal, Luiz Carlos Gouveia, Alister Hamilton Bio-inspired Event Coded Configurable Analog Circuit Block. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Ali Namazi, Syed Askari, Mehrdad Nourani Highly reliable A/D converter using analog voting. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Sheng-Yu Peng, Bradley A. Minch, Paul E. Hasler Analog VLSI implementation of support vector machine learning and classification. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Didier Le Ruyet, Berna Özbek Partial and Analog Feedback for MISO Precoding Systems. Search on Bibsonomy ICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Qingjian Ji, Youren Wang, Min Xie, Jiang Cui Research on Fault-Tolerance of Analog Circuits Based on Evolvable Hardware. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF EHW, FPACA, Amplifier circuit, Fault-tolerance, Evolutionary algorithm
31Xin Li 0001, Brian Taylor, YuTsun Chien, Lawrence T. Pileggi Adaptive post-silicon tuning for analog circuits: concept, analysis and optimization. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Guo Yu, Peng Li 0001 Yield-aware analog integrated circuit optimization using geostatistics motivated performance modeling. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Brian Gestner, Jason Tanner, David V. Anderson Glass Break Detector Analog Front-End Using Novel Classifier Circuit. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Aaron St. Leger, Chika O. Nwankpa Analog and Hybrid Computation Approaches for Static Power Flow. Search on Bibsonomy HICSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Lihong Zhang, Ulrich Kleine, Yingtao Jiang An automated design tool for analog layouts. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Seiji Kameda, Tetsuya Yagi An analog silicon retina with multichip configuration. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Filipp Akopyan, Rajit Manohar, Alyssa B. Apsel A Level-Crossing Flash Asynchronous Analog-to-Digital Converter. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Aaron St. Leger, Chika O. Nwankpa Static generator model for analog power flow computation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Shweta Chary, Michael L. Bushnell Analog Macromodeling for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Ritochit Chakraborty, Mukesh Ranjan, Ranga Vemuri Symbolic Time-Domain Behavioral and Performance Modeling of Linear Analog Circuits Using an Efficient Symbolic Newton-Iteration Algorithm for Pole Extraction. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Robert J. Bowman An Educational Program for Engineering Careers in Analog and Mixed-Signal Electronic Design. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Ji Luo 0003, Joseph B. Bernstein, J. Ari Tuchman, Hu Huang 0001, Kuan-Jung Chung, Anthony L. Wilson A High Performance Radiation-Hard Field Programmable Analog Array . Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Mohamed Hafed Glamorous Analog Testability - We Already Test them and Ship Them - So What is the Problem? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Yang Xu 0017, Lawrence T. Pileggi, Stephen P. Boyd ORACLE: optimization with recourse of analog circuits including layout extraction. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization with recourse
31Roman Genov, Gert Cauwenberghs Algorithmic partial analog-to-digital conversion in mixed-signal array processors. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Mama Hamour, Resve A. Saleh, Shahriar Mirabbasi, André Ivanov Analog IP design flow for SoC applications. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Danica Stefanovic, Maher Kayal, Marc Pastre, Vanco B. Litovski Procedural Analog Design (PAD) Tool. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31T. M. Massengill, Denise M. Wilson, Paul E. Hasler, David W. Graham Empirical comparison of analog and digital auditory preprocessing for automatic speech recognition. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Sree Ganesan, Ranga Vemuri Library Binding for High-Level Synthesis of Analog Systems. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Resve A. Saleh, Brian A. A. Antao, Jaidip Singh Multilevel and mixed-domain simulation of analog circuits and systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31Brian A. A. Antao, Arthur J. Brodersen Behavioral simulation for analog system design verification. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
31Vladimir Kolarik, Salvador Mir, Marcelo Lubaszewski, Bernard Courtois Analog checkers with absolute and relative tolerances. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
31Arun Achyuthan, Mohamed I. Elmasry Mixed analog/digital hardware synthesis of artificial neural networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
31Linda S. Milor, Alberto L. Sangiovanni-Vincentelli Minimizing production test time to detect faults in analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
31Genhong Ruan, Jirí Vlach, James A. Barby, Ajoy Opal Analog functional simulator for multilevel systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
31Amit Laknaur, Sai Raghuram Durbha, Haibo Wang 0005 Built-In-Self-Testing Techniques for Programmable Capacitor Arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF programmable capacitor array, built-in-self-testing, analog testing, field programmable analog array
31Gert Cauwenberghs Design and VLSI Implementation of an Adaptive Delta-Sigma Modulator. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF neural networks, reinforcement learning, analog VLSI, delta-sigma modulation, analog-to-digital conversion
31Zhenhua Wang Adaptive analog biasing: a robustness-enhanced low-power technique for analog baseband design. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF robustness enhancement, sensitivity reduction, low-power, low-energy, analog integrated circuits, biasing
29Hao-Chiao Hong, Cheng-Wen Wu, Kwang-Ting Cheng A Signa-Delta Modulation Based Analog BIST System with a Wide Bandwidth Fifth-Order Analog Response Extractor for Diagnosis Purpose. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Bradley A. Minch Translinear Analog Signal Processing: A Modular Approach to Large-Scale Analog Computation with Multiple-Input Translinear Elements. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Moshe Mishali, Yonina C. Eldar Xampling: Analog Data Compression. Search on Bibsonomy DCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog processing circuits, data conversion, sampling methods, analog digital conversion
29Mohammed A. S. Abdallah, Omar S. Elkeelany, Ali T. Alouani Simultaneous multi-channel data acquisition with variable sampling frequencies using a scalable adaptive synchronous controller. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adc, sd card, fpga, real-time, multiplexing, data acquisition, fft
29Reimund Wittmann, Massimo Vanzi, Hans-Joachim Wassener, Navraj Nandra, Joachim Kunkel, José E. da Franca, Christian Münker Life begins at 65: unless you are mixed signal? Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Paul E. Hasler Low-Power Programmable Signal Processing, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29José Luis Huertas Test and design-for-test of mixed-signal integrated circuits. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Alvernon Walker A Step Response Based Mixed-Signal BIST Approach . Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Peter Frey, Radharamanan Radhakrishnan Parallel mixed-technology simulation. Search on Bibsonomy PADS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 14080 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license