The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for busses with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-1990 (20) 1991-1995 (16) 1996-1998 (19) 1999-2000 (19) 2001-2002 (18) 2003-2004 (22) 2005 (15) 2006 (17) 2007 (18) 2008-2011 (15) 2013-2022 (7)
Publication types (Num. hits)
article(28) book(1) incollection(1) inproceedings(156)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 161 occurrences of 136 keywords

Results
Found 186 publication records. Showing 186 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Björn Griese, Boris Kettelhoit, Mario Porrmann Evaluation of On-Chip Interfaces for Dynamically Reconfigurable Coprocessors. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Ralf M. Schreier, A. Tushar Iqbal Rahman, Ganesh Krishnamurthy, Albrecht Rothermel Architecture Analysis for Low-Delay Video Coding. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Eui Bong Jung, Han Wook Cho, Neungsoo Park, Yong Ho Song SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Bart Mesman, Hamed Fatemi, Henk Corporaal, Twan Basten Dynamic-SIMD for lens distortion compensation. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Jean-Marc Philippe, Sébastien Pillement, Olivier Sentieys Area Efficient Temporal Coding Schemes for Reducing Crosstalk Effects. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Jochen Müller, Ulrich Epple A Generic Information Model for an XML-based Device Profile of Pumps. Search on Bibsonomy ETFA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Frederic Worm, Paolo Ienne, Patrick Thiran, Giovanni De Micheli A robust self-calibrating transmission scheme for on-chip networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Ken Tseng, Mark Horowitz False coupling exploration in timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Lin Zhong 0001, Niraj K. Jha Interconnect-aware low-power high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Robert C. Martin The Test Bus Imperative: Architectures That Support Automated Acceptance Testing. Search on Bibsonomy IEEE Softw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automated acceptance testing, test bus
13Ronald Hochreiter, Clemens Wiesinger, David Wozabal Large-Scale Computational Finance Applications on the Open Grid Service Environment. Search on Bibsonomy EGC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Luciano Ost, Aline Mello 0001, José Palma 0002, Fernando Gehm Moraes, Ney Calazans MAIA: a framework for networks on chip generation and verification. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Oliver Bringmann 0001, Wolfgang Rosenstiel, Axel Siebenborn Conflict analysis in multiprocess synthesis for optimized system integration. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, systems-on-chip, system level design, concurrent systems, binding, behavioral synthesis
13Jean-Luc Scharbarg, Marc Boyer, Jérôme Ermont, Christian Fraboul TTCAN over mixed CAN/switched Ethernet architecture. Search on Bibsonomy ETFA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Atul Katoch, Maurice Meijer, Sanjeev K. Jain Active Noise Cancellation Using Aggressor-Aware Clamping Circuit for Robust On-Chip Communication. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Rizwan Bashirullah, Wentai Liu, Ralph K. Cavin III, Dale Edwards A hybrid current/voltage mode on-chip signaling scheme with adaptive bandwidth capability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13André K. Nieuwland, Atul Katoch, Maurice Meijer Reducing Cross-Talk Induced Power Consumption and Delay. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Ingrid Verbauwhede, Patrick Schaumont, Christian Piguet, Bart Kienhuis Architectures and Design Techniques for Energy Efficient Embedded DSP and Multimedia Processing. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Claudia Kretzschmar, Christian Galke, Heinrich Theodor Vierhaus A Hierarchical Self Test Scheme for SoCs. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Jeff Young, Ron Sass FERP Interface and Interconnect Cores for Stream Processing Applications. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Mirko Loghi, Massimo Poncino, Luca Benini Cycle-accurate power analysis for multiprocessor systems-on-a-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, multiprocessor, system-on-chip
13Peter Manhart, Kurt Schneider Breaking the Ice for Agile Development of Embedded Software: An Industry Experience Report. Search on Bibsonomy ICSE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13A. T. Sivaram, Pascal Pierra, Shida Sheibani, Nancy Wang-Lee, Jorge E. Solorzano, Lily Tran Active Tester Interface Unit Design For Data Collection. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Juha Häkkinen, Pekka Syri, Juha-Veikko Voutilainen, Markku Moilanen A Frequency Mixing and Sub-Sampling Based RF-Measurement Apparatus for IEEE 1149.4. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Nikos Pitsianis, Gerald G. Pechanek Indirect VLIW memory allocation for the ManArray multiprocessor DSP. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Brian White, Jay Lepreau, Shashi Guruprasad Lowering the barrier to wireless and mobile experimentation. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Stephan Eberle, Peter Göhner Adaptive Information Exchange with Field Bus Systems. Search on Bibsonomy ICCSA (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Daniele Rossi 0001, S. Cavallotti, Cecilia Metra Error Correcting Codes for Crosstalk Effect Minimization. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Martin Schulz 0001, Jie Tao 0001, Jürgen Jeitner, Wolfgang Karl A proposal for a new hardware cache monitoring architecture. Search on Bibsonomy MSP/ISMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Kai Richter 0001, Rolf Ernst Event Model Interfaces for Heterogeneous System Analysis. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Paul Wielage, Kees Goossens Networks on Silicon: Blessing or Nightmare? Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Lin Zhong 0001, Niraj K. Jha Interconnect-aware high-level synthesis for low power. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Daniele Rossi 0001, Steven V. E. S. van Dijk, Richard P. Kleihorst, A. H. Nieuwland, Cecilia Metra Coding Scheme for Low Energy Consumption Fault-Tolerant Bus. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Jan-Michael Frahm, Jan-Friso Evers-Senne, Reinhard Koch Network Protocol for I teraction and Scalable Distributed Visualization. Search on Bibsonomy 3DPVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Rung-Bin Lin, Chi-Ming Tsai Weight-Based Bus-Invert Coding for Low-Power Applications. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Andrew Shane Huang Keeping Secrets in Hardware: The Microsoft Xbox™ Case Study. Search on Bibsonomy CHES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Wolfgang Hansmann, Matthias Frank 0001, Michael Wolf Performance Analysis of TCP Handover in a Wireless/Mobile Multi-Radio Environment. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Olivier Cozette, Cyril Randriamaro, Gil Utard Improving Cluster IO Performance with Remote Efficient Access to Distant Device. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Lars Kruse, Eike Schmidt, Gerd Jochens, Ansgar Stammermann, Arne Schulz, Enrico Macii, Wolfgang Nebel Estimation of lower and upper bounds on the power consumption from scheduled data flow graphs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Paul-Peter Sotiriadis, Anantha P. Chandrakasan Reducing bus delay in submicron technology using coding. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Mariagrazia Graziano, Guido Masera, Gianluca Piccinini, Maurizio Zamboni Hierarchical power supply noise evaluation for early power grid design prediction. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Vishal Dalal, C. P. Ravikumar Software Power Optimizations In An Embedded System. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Vinodh Cuppu, Bruce L. Jacob Concurrency, latency, or system overhead: which has the largest impact on uniprocessor DRAM-system performance?. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Systems Application Architecture
13A. V. Hariharakrishnan, Simon Johney Validation Platform for a P1394a OHCI-Link Layer Synthesizable Core (With a PCI Bus Interface). Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Sudhakar Bobba, Ibrahim N. Hajj High-performance bidirectional repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Martin L. Griss Agent-Mediated E-Commerce Agents, Components, Services, Workflow, UML, Java, XML and Games. Search on Bibsonomy TOOLS (34) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Simulation, Java, XML, UML, Agents, E-commerce, Workflow, Games, Components, Features, Aspects, Product Lines, CBSE
13Kostas Masselos, Panagiotis Merakos, Thanos Stouraitis, Constantinos E. Goutis Novel techniques for bus power consumption reduction in realizations of sum-of-product computation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Frank Poehl, Walter Anheier Quality Determination for Gate Delay Fault Tests Considering Three-State Elements. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ATPG, fault modelling, fault simulation
13Rajeev Murgai, Masahiro Fujita On Reducing Transitions Through Data Modifications. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Peter Thoma Automotive Electronics - A Challenge For Systems Engineering. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Pierpaolo Baglietto Euclidean Distance Transform on a Dedicated Architecture Based on a Reconfigurable Mesh Networ. Search on Bibsonomy ICIAP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Tommy Klevin, Lennart Lindh Scalable Architecture for Real-Time Applications and Use of Bus-Monitoring. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bus monitor and multiprocessor systems, real-time system, architecture, real-time kernel
13Jim Kenney Co-verification as risk management: minimizing the risk of incorporating a new processor in your next embedded system design. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13John Poulton Problems and Prospects for Electrical Signaling. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Petru Eles, Krzysztof Kuchcinski, Zebo Peng, Alexa Doboli, Paul Pop Scheduling of Conditional Process Graphs for the Synthesis of Embedded Systems. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Real time systems, Embedded systems, System design, Design automation, Performance estimation, Hardware/Software co-design, Process scheduling, System-level synthesis
13Matthew Moe, Herman Schmit, Seth Copen Goldstein Characterization and Parameterization of a Pipeline Reconfigurable FPGA. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Petru Eles, Krzysztof Kuchcinski, Zebo Peng, Alexa Doboli, Paul Pop Process Scheduling for Performance Estimation and Synthesis of Hardware/Software Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Mahesh Mehendale, Sunil D. Sherlekar, G. Venkatesh 0001 Algorithmic and Architectural Transformations for Low Power Realization of FIR Filters. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Hardware/Software High Level Synthesis, Low Power Design, FIR Filters
13Jason Cong, Patrick H. Madden Performance-driven routing with multiple sources. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13C. P. Ravikumar, R. Aggarwal, C. Sharma A Graph-Theoretic Approach for Register File Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13David R. Engebretsen, Daniel M. Kuchta, Richard C. Booth, John D. Crow, Wayne G. Nation Parallel Fiber-Optic SCI Links. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fiber optics, SCI-Link (Scalable Coherent Interface-Link), Parallel processing, interconnects
13Michel Langevin, Eduard Cerny A recursive technique for computing lower-bound performance of schedules. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF lower-bound on performance, microcode optimization, scheduling, resource constraints, dataflow graph
13Huzefa Mehta, Robert Michael Owens, Mary Jane Irwin Some Issues in Gray Code Addressing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
13Tom Lovett, Russell M. Clapp STiNG: A CC-NUMA Computer System for the Commercial Marketplace. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
13Arun K. Jagota A near-optimal algorithm for gossiping in a d-dimensional mesh bus interconnection network. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF near-optimal algorithm, d-dimensional mesh bus interconnection network, geometric characterization, parallel algorithms, multiprocessor interconnection networks, upper bound, gossiping, message transmission
13Catherine H. Gebotys, Robert J. Gebotys Optimized mapping of video applications to hardware-software for VLSI architectures. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF integer optimization, video computations, video systems, optimized mapping, scheduling, VLSI, optimisation, VLSI architectures, video signal processing, VLIW processor
13M. H. Konijnenburg, J. Th. van der Linden, Ad J. van de Goor Compact test sets for industrial circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF compact test sets, industrial circuits, binary logic elements, three-state elements, compaction oriented decision making, heuristics, logic testing, integrated circuit testing, automatic test pattern generation, combinational circuits, automatic testing, multivalued logic circuits, test patterns, bidirectionals, xor gates, or gates, test set size
13Catherine H. Gebotys An optimization approach to the synthesis of multichip architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
13Jung-Cheun Lien, Melvin A. Breuer Test program synthesis for modules and chips having boundary scan. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Board and system test, test controllers, test program synthesis, built-in self-test, design-for-test, boundary scan
13Ernst D. Dickmanns A general dynamic vision architecture for UGV and UAV. Search on Bibsonomy Appl. Intell. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF vision architecture, vehicle guidance, modeling, Machine vision, state estimation
13Catherine H. Gebotys, Mohamed I. Elmasry Simultaneous Scheduling and Allocation for Cost Constrained Optimal Architectural Synthesis. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
13Forrest Brewer, Barry M. Pangrle, Andrew Seawright Interconnection synthesis with geometric constraints. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
13Daniel Fischer, Yossi Levhari, Gadi Singer NETHDL: abstraction of schematics to high-level HDL. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
13Jason Cong, Bryan Preas, C. L. Liu 0001 General Models and Algorithms for Over-the-Cell Routing in Standard Cell Design. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
13P. A. Subrahmanyam What's in a Timing Discipline? Considerations in the Specification and Synthesis of Systems with Interacting Asynchronous and Synchronous Components. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
13Ahmed E. Kamal 0001, Bandula W. Abeysundara X-NET: A Dual Bus Fiber-Optic LAN Using Active Switches. Search on Bibsonomy SIGCOMM The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
13David R. Cheriton, Hendrik A. Goosen, Patrick D. Boyle Multi-level Shared Caching Techniques for Scalability in VMP-M/C. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
13José L. Melús, E. Sanvicente, J. Magriñá Modelling and Performance Evaluation of Multiprocessor Based Packet Switches. Search on Bibsonomy SIGMETRICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
13Len Dekker, Edward E. E. Frietman Optical link and processor clustering in the Delft parallel processor. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
13Richard E. Brown The Kiewit network: a large Apple Talk internetwork. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
13Timothy A. Gonsalves, Fouad A. Tobagi Performance of the Expressnet with Voice/Data Traffic. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
13Domenico Saccà, Gio Wiederhold Database Partitioning in a Cluster of Processors. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
13Richard H. Lathrop, Robert S. Kirk An extensible object-oriented mixed-mod functional simulation system. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF LISP
13Douglas J. Theis Distributed network and multiprocessing minicomputer state-of-the-art capabilities. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
13Richard J. Swan, Andreas von Bechtolsheim, Kwok-Woon Lai, John K. Ousterhout The implementation of the Cm* multi-microprocessor. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
13G. Jack Lipovski An organization for optical linkages between integrated circuits. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #186 of 186 (100 per page; Change: )
Pages: [<<][1][2]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license