The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for clock with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1976 (15) 1978-1982 (15) 1983-1984 (16) 1985-1986 (33) 1987 (18) 1988 (25) 1989 (31) 1990 (47) 1991 (31) 1992 (44) 1993 (56) 1994 (114) 1995 (149) 1996 (134) 1997 (169) 1998 (153) 1999 (244) 2000 (239) 2001 (276) 2002 (359) 2003 (478) 2004 (553) 2005 (665) 2006 (715) 2007 (711) 2008 (728) 2009 (473) 2010 (332) 2011 (290) 2012 (269) 2013 (284) 2014 (318) 2015 (301) 2016 (243) 2017 (264) 2018 (296) 2019 (260) 2020 (232) 2021 (235) 2022 (256) 2023 (235) 2024 (55)
Publication types (Num. hits)
article(3278) book(3) incollection(30) inproceedings(6992) phdthesis(53) proceedings(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5810 occurrences of 2370 keywords

Results
Found 10362 publication records. Showing 10361 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
47Juang-Ying Chueh, Conrad H. Ziesler, Marios C. Papaefthymiou Experimental Evaluation of Resonant Clock Distribution. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
47Dongsheng Wang 0012, Peter Suaris, Nan-Chi Chou A Practical ASIC Methdology for Flexible Clock Tree Synthesis with Routing Blockages. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Srigurunath Chakravarthi, Anand Pillai, Jothi P. Neelamegam, Manoj Apte, Anthony Skjellum A Fine-Grain Clock Synchronization Mechanism for Myrinet Clusters. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Elie Torbey, John P. Knight Multiclock selection and synthesis for CDFGs using optimal clock sets and genetic algorithms. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Michael Mock, Reiner Frings, Edgar Nett, Spiro Trikaliotis Continuous Clock Synchronization in Wireless Real-Time Applications. Search on Bibsonomy SRDS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
47Joe G. Xi, Wayne Wei-Ming Dai Useful-Skew Clock Routing with Gate Sizing for Low Power Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
47Chuan Lin 0002, Hai Zhou 0001 Clock Skew Scheduling with Delay Padding for Prescribed Skew Domains. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF delay padding, prescribed skew domains, optimal skew scheduling algorithm, domain assignment, sequential circuit, flip-flops, memory elements, clock period, clock skew scheduling
47Guy Even, Ami Litman Overcoming chip-to-chip delays and clock skews. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF chip-to-chip delays, chip-to-chip interconnections, feasible clock period, large systolic linear arrays, systolic two-dimensional arrays, logic duplication, delays, logic design, systolic arrays, systolic array, functionality, retiming, clock skews
47K. Arvind Probabilistic Clock Synchronization in Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF distributedsystems, probability of invalidity, deterministicalgorithm, master-slave scheme, time transmission protocol, distributed processing, probability, synchronisation, clock synchronization, probabilistic algorithm, clock skew, synchronization messages
46Eric Chun, Zeshan Chishti, T. N. Vijaykumar Shapeshifter: Dynamically changing pipeline width and speed to address process variations. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Carl Ebeling, Brian Lockyear On the performance of level-clocked circuits. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF level-clocked circuits, level-sensitive latches, timing, synchronisation, flip-flops, clocks, retiming, clock skew, clock period, pipelined circuits
45Mingoo Seok, David T. Blaauw, Dennis Sylvester Clock network design for ultra-low power applications. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ultra-low power, robust design, clock network
45Sari Onaissi, Khaled R. Heloue, Farid N. Najm Clock skew optimization via wiresizing for timing sign-off covering all process corners. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock skew optimization, parameterized timing analysis, sign-off, wiresizing, variability
45Ranan Fraer, Gila Kamhi, Muhammad K. Mhameed A new paradigm for synthesis and propagation of clock gating conditions. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-power design, clock gating
45Xu Zhang, Xiaohong Jiang 0001, Susumu Horiguchi A non-orthogonal clock distribution network and its performance evaluation in presence of process variations and inductive effects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF H-Tree, X Architecture, performance evluation, variant X-Tree, clock distribution network
45Shih-Hsu Huang, Chun-Hua Cheng, Yow-Tyng Nieh, Wei-Chieh Yu Register binding for clock period minimization. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-level synthesis, clock skew, timing optimization
45Kun Sun 0001, Peng Ning, Cliff Wang Fault-Tolerant Cluster-Wise Clock Synchronization for Wireless Sensor Networks. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF wireless sensor networks, fault tolerance, Clock synchronization
45Guofang Nan, Minqiang Li, Jisong Kou Multi-level genetic algorithm (MLGA) for the construction of clock binary tree. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF genetic algorithms, binary tree, multi-level model, clock routing
45Kai Wang 0011, Malgorzata Marek-Sadowska Clock network sizing via sequential linear programming with time-domain analysis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sequential linear programming, sizing, clock skew, time-domain analysis
45Young Sik Hong, J. H. No Clock Synchronization in Wireless Distributed Embedded Applications. Search on Bibsonomy WSTFES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF time transmission protocol, master/slave structure, wireless communication, clock synchronization, distributed embedded system
45Greg Semeraro, Grigorios Magklis, Rajeev Balasubramonian, David H. Albonesi, Sandhya Dwarkadas, Michael L. Scott Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Off-Line Analysis Tool, Dynamic Reconfiguration Algorithm, Low Power, Dynamic Voltage and Frequency Scaling, Multiple Clock Domain
45Baris Taskin, Ivan S. Kourtev Performance optimization of single-phase level-sensitive circuits using time borrowing and non-zero clock skew. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, linear programming, clock skew, cycle stealing
45Marcelo M. de Azevedo, Douglas M. Blough Multistep Interactive Convergence: An Efficient Approach to the Fault-Tolerant Clock Synchronization of Large Multicomputers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF interactive convergence, fault tolerance, multicomputers, Clock synchronization
45Subhrajit Bhattacharya, Sujit Dey, Franc Brglez Effects of resource sharing on circuit delay: an assignment algorithm for clock period optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF resorce sharing, high-level synthesis, clock period
45Kwang-Ting Cheng Partial scan designs without using a separate scan clock. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop selection method, flip-flop test generation method, scan registers ordering, scan-shifting concept, test vector compaction, delay fault detection, cycle breaking, logic testing, delays, timing, design for testability, logic design, automatic testing, DFT, fault coverage, flip-flops, circuit optimisation, boundary scan testing, scan chain, combinatorial optimization problem, test generation algorithm, partial scan designs, system clock
44Kan Takeuchi, Atsushi Yoshikawa 0003, Michio Komoda, Ken Kotani, Hiroaki Matsushita, Yusaku Katsuki, YuyoYamamoto, Takao Sato Clock-Skew Test Module for Exploring Reliable Clock-Distribution Under Process and Global Voltage-Temperature Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Yanfeng Wang, Qiang Zhou 0001, Xianlong Hong, Yici Cai Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44M. B. Maaz, Magdy A. Bayoumi A non-zero clock skew scheduling algorithm for high speed clock distribution network. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43George D. O'Clock, Wendy Strouse Watt A Model and Simulation With Therapeutic Device-Protocol Design Implications for Acute and Chronic Wounds. Search on Bibsonomy EMBC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
43George D. O'Clock Modeling of coupled differential equations for cellular chemical signaling pathways: Implications for assay protocols utilized in cellular engineering. Search on Bibsonomy EMBC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
43George D. O'Clock A multi-scale feedback control system model for wound healing electrical activity: Therapeutic device/protocol implications. Search on Bibsonomy EMBC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
43George D. O'Clock, Yong Wan Lee, Jongwong Lee, Warren J. Warwick High-Frequency and Low-Frequency Chest Compression: Effects on Lung Water Secretion, Mucus Transport, Heart Rate, and Blood Pressure Using a Trapezoidal Source Pressure Waveform. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
43George D. O'Clock, Yong Wan Lee, Jongwong Lee, Warren J. Warwick A Simulation Tool to Study High-Frequency Chest Compression Energy Transfer Mechanisms and Waveforms for Pulmonary Disease Applications. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
43George D. O'Clock, Donald L. Henderson Design and Analysis of Data Communication Systems. Search on Bibsonomy Int. J. Netw. Manag. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
43Venkata Rajesh Mekala, Yifang Liu, Xiaoji Ye, Jiang Hu, Peng Li 0001 Accurate clock mesh sizing via sequential quadraticprogramming. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, sequential quadratic programming
43Juanjuan Chen, Xing Wei, Yunjian Jiang, Qiang Zhou 0001 Improve clock gating through power-optimal enable function selection. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Vinayak Honkote, Baris Taskin Zero clock skew synchronization with rotary clocking technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Arjun Kapoor, Nikhil Jayakumar, Sunil P. Khatri Dynamically De-Skewable Clock Distribution Methodology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Hyein Lee 0003, Seungwhun Paik, Youngsoo Shin Pulse width allocation with clock skew scheduling for optimizing pulsed latch-based sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Jeff Mueller, Resve A. Saleh Single Edge Clock (SEC) Distribution for Improved Latency, Skew, and Jitter Performance. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Julien Lamoureux, Steven J. E. Wilton Clock-Aware Placement for FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Po-Yuan Chen, Kuan-Hsien Ho, TingTing Hwang Skew aware polarity assignment in clock tree. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Atanu Chattopadhyay, Zeljko Zilic Reconfigurable Clock Distribution Circuitry. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Mosin Mondal, Andrew J. Ricketts, Sami Kirolos, Tamer Ragheb, Greg M. Link, Narayanan Vijaykrishnan, Yehia Massoud Mitigating Thermal Effects on Clock Skew with Dynamically Adaptive Drivers. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Sherif A. Tawfik, Volkan Kursun Dual-V_DD Clock Distribution for Low Power and Minimum Temperature Fluctuations Induced Skew. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Zhengtao Yu 0002, Xun Liu Power Analysis of Rotary Clock. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Min Pan, Chris C. N. Chu, J. Morris Chang Transition time bounded low-power clock tree construction. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Chih-Hung Lee, Chin-Hung Su, Shih-Hsu Huang, Chih-Yuan Lin, Tsai-Ming Hsieh Floorplanning with clock tree estimation. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Masanori Hashimoto, Tomonori Yamamoto, Hidetoshi Onodera Statistical Analysis of Clock Skew Variation in H-Tree Structure. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Low Cost Scheme for On-Line Clock Skew Compensation. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Shih-Hsu Huang, Yow-Tyng Nieh, Feng-Pin Lu Race-condition-aware clock skew scheduling. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sequential circuits, high performance, timing optimization
43Aseem Agarwal, Vladimir Zolotov, David T. Blaauw Statistical clock skew analysis considering intradie-process variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Makoto Mori, Hongyu Chen, Bo Yao, Chung-Kuan Cheng A multiple level network approach for clock skew minimization with process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Y. Elboim, Avinoam Kolodny, Ran Ginosar A clock-tuning circuit for system-on-chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Dimitrios Velenis, Marios C. Papaefthymiou, Eby G. Friedman Reduced Delay Uncertainty in High Performance Clock Distribution Networks. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Aseem Agarwal, David T. Blaauw, Vladimir Zolotov Statistical Clock Skew Analysis Considering Intra-Die Process Variations. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43A. V. Mule, Elias N. Glytsis, Thomas K. Gaylord, James D. Meindl Electrical and optical clock distribution networks for gigascale microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Anoop Iyer, Diana Marculescu Power efficiency of voltage scaling in multiple clock, multiple voltage cores. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Resve A. Saleh, Syed Zakir Hussain, Steffen Rochel, David Overhauser Clock skew verification in the presence of IR-drop in the powerdistribution network. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
43Xiaohong Jiang 0001, Susumu Horiguchi Optimization of Wafer Scale H-Tree Clock Distribution Network Based on a New Statistical Skew Model. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
43Zhaoyun Xing, Prithviraj Banerjee A parallel algorithm for zero skew clock tree routing. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
43Keith M. Carrig, Albert M. Chu, Frank D. Ferraiolo, John G. Petrovick, P. Andrew Scott, Richard J. Weiss A Clock Methodology for High-Performance Microprocessors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
43Uri Frank, Tsachy Kapschitz, Ran Ginosar A predictive synchronizer for periodic clock domains. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Predictive Synchronizer, Multiple Clock Domains (MCD), Formal Verification, Systems on Chip (SoC), Clock Synchronization, Metastability
43Cecilia Metra, Stefano Di Francescantonio, T. M. Mak Implications of Clock Distribution Faults and Issues with Screening Them during Manufacturing Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clock faults, Testing, clock distribution network, manufacturing test
43Ali Kanso More Generalized Clock-Controlled Alternating Step Generator. Search on Bibsonomy ACNS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Clock-Controlled Registers, Alternating Step Generator and Clock-Controlled Alernating Step Generator, Stream Ciphers
43Sandeep Kumar Goel, Bart Vermeulen Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scan-based debug, Design-for-Debug (DfD), clock gating, silicon debug, multiple-clock domains
42Isamu Tsuneizumi, Ailixier Aikebaier, Tomoya Enokido, Makoto Takizawa 0001 A flexible group communication protocol with hybrid clocks. Search on Bibsonomy MoMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Sei Hyung Jang A new synchronous mirror delay with an auto-skew-generation circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Frank Grassert, Dirk Timmermann Dynamic single phase logic with self-timed stages for power reduction in pipeline circuit designs. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Nithya Raghavan, Venkatesh Akella, Smita Bakshi Automatic Insertion of Gated Clocks at Register Transfer Level. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Fabian Kuhn, Christoph Lenzen 0001, Thomas Locher, Rotem Oshman Optimal gradient clock synchronization in dynamic networks. Search on Bibsonomy PODC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock synchronization, dynamic networks
41Tak-Kei Lam, Steve Yang, Wai-Chung Tang, Yu-Liang Wu Logic synthesis for low power using clock gating and rewiring. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low power, logic synthesis, clock gating
41Tak-Yung Kim, Taewhan Kim Clock tree synthesis with pre-bond testability for 3D stacked IC designs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, routing, buffer insertion, 3D ICs, clock tree
41Chrisil Arackaparambil, Sergey Bratus, Anna Shubina, David Kotz On the reliability of wireless fingerprinting using clock skews. Search on Bibsonomy WISEC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fake access point, mac address spoofing, wireless, ieee 802.11, fingerprinting, clock skew, timestamp
41Anthony Rowe 0001, Vikram Gupta, Ragunathan Rajkumar Low-power clock synchronization using electromagnetic energy radiating from AC power lines. Search on Bibsonomy SenSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hardware clock synchronization, wireless sensor networks, sensor networks, synchronization
41Cliff N. Sze, Phillip J. Restle, Gi-Joon Nam, Charles J. Alpert Ispd2009 clock network synthesis contest. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF benchmarks, physical design, vlsi, clock network synthesis
41Jaehan Lee, Jang-Sub Kim, Erchin Serpedin Clock Offset Estimation in Wireless Sensor Networks Using Bootstrap Bias Correction. Search on Bibsonomy WASA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bias Correction, Wireless Sensor Networks, Bootstrap, Clock Synchronization
41Min Ni, Seda Ogrenci Memik Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-Vth, leakage power optimization, gate sizing, clock skew scheduling
41Dong Zhou, Ten-Hwang Lai An Accurate and Scalable Clock Synchronization Protocol for IEEE 802.11-Based Multihop Ad Hoc Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scalability, MANET, IEEE 802.11, clock synchronization
41Rupesh S. Shelar An efficent clustering algorithm for low power clock tree synthesis. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clustering, low power, clock tree synthesis
41Yosuke Takahashi, Yukihide Kohira, Atsushi Takahashi 0001 A fast clock scheduling for peak power reduction in LSI. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF general-synchronous framework, peak power reduction, power consumption estimation, clock scheduling
41Mahyar R. Malekpour A Byzantine-Fault Tolerant Self-stabilizing Protocol for Distributed Clock Synchronization Systems. Search on Bibsonomy SSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault tolerant, algorithm, model checking, verification, protocol, distributed, self-stabilization, clock synchronization, Byzantine
41Tomokazu Yoneda, Kimihiko Masuda, Hideo Fujiwara Power-constrained test scheduling for multi-clock domain SoCs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-clock domain SoC, power consumption, test scheduling, test access mechanism
41Uday Padmanabhan, Janet Meiling Wang, Jiang Hu Statistical clock tree routing for robustness to process variations. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF routing, robustness, process variations, clock tree
41Anand Rajaram, David Z. Pan, Jiang Hu Improved algorithms for link-based non-tree clock networks for skew variability reduction. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF non-tree clocks, physical design, VLSI CAD, clock network
41Shlomi Dolev, Jennifer L. Welch Self-stabilizing clock synchronization in the presence of Byzantine faults. Search on Bibsonomy J. ACM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF self-stabilization, clock synchronization, Byzantine failures
41Hans M. Jacobson Improved clock-gating through transparent pipelining. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adaptive pipeline depth, dynamic pipeline scaling, optimal pipeline clocking, pipeline stage unification, transparent pipeline, low power, high performance, microarchitecture, circuits, clock gating
41Hechmi Khlifi, Jean-Charles Grégoire Estimation and Removal of Clock Skew From Delay Measures. Search on Bibsonomy LCN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clock skew, delay measurement
41Frank O'Mahony, C. Patrick Yue, Mark Horowitz, S. Simon Wong Design of a 10GHz clock distribution network using coupled standing-wave oscillators. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF distributed oscillators, on-chip phase measurement, resonant clocking, salphasic, standing wave, clock distribution, coupled oscillators
41Cecilia Metra, Michele Favalli, Stefano Di Francescantonio, Bruno Riccò On-Chip Clock Faults' Detector. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock faults, systems-on-a-chip, on-line testing
41David Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin Impact of Technology Scaling in the Clock System Power. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Clock Power, Low-power-design, Power modeling, Technology Scaling
41J. Ramanujam, Sandeep Deshpande, Jinpyo Hong, Mahmut T. Kandemir A Heuristic for Clock Selection in High-Level Synthesis. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock selection, heuristics, high-level synthesis, design space exploration, graph structure
41Gary Ellis, Lawrence T. Pileggi, Rob A. Rutenbar A hierarchical decomposition methodology for multistage clock circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance driven router, routing, process variations, manufacturability, clock
40Conrado Daws, Sergio Yovine Reducing the number of clock variables of timed automata. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF clock variable number reduction, active clock detection, equal clock detection, memory space reduction, real-time systems, real time systems, verification, case studies, timed automata, bisimulation, experimental results, system evolution
40Minsoo Ryu, Jungkeun Park, Seongsoo Hong Timing Constraint Remapping to Achieve Time Equi-Continuity in Distributed Real-Time Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF timing constraint transformation, real-time scheduling, clock synchronization, Distributed real-time system
39Jeff Mueller, Resve A. Saleh A Tunable Clock Buffer for Intra-die PVT Compensation in Single-Edge Clock (SEC) Distribution Networks. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Ryan W. Apperson, Zhiyi Yu, Michael J. Meeuwsen, Tinoosh Mohsenin, Bevan M. Baas A Scalable Dual-Clock FIFO for Data Transfers Between Arbitrary and Haltable Clock Domains. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Scott Sirowy, Yonghui Wu, Stefano Lonardi, Frank Vahid Clock-frequency assignment for multiple clock domain systems-on-a-chip. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Dimitrios Velenis, Eby G. Friedman, Marios C. Papaefthymiou A clock tree topology extraction algorithm for improving the tolerance of clock distribution networks to delay uncertainty. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Jui-Hao Chiang, Tzi-cker Chiueh Accurate Clock Synchronization for IEEE 802.11-Based Multi-Hop Wireless Networks. Search on Bibsonomy ICNP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 10361 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license