The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplanning with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1989 (17) 1990-1991 (17) 1992-1995 (26) 1996-1998 (26) 1999 (20) 2000 (30) 2001 (22) 2002 (29) 2003 (38) 2004 (53) 2005 (61) 2006 (68) 2007 (59) 2008 (40) 2009 (33) 2010 (25) 2011 (35) 2012 (18) 2013 (26) 2014 (22) 2015 (18) 2016-2017 (28) 2018-2019 (17) 2020-2021 (17) 2022-2023 (34) 2024 (5)
Publication types (Num. hits)
article(264) incollection(3) inproceedings(512) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 471 occurrences of 218 keywords

Results
Found 784 publication records. Showing 784 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
37Qiang Ma 0002, Evangeline F. Y. Young Voltage island-driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Eric Wong 0002, Sung Kyu Lim 3D floorplanning with thermal vias. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Michael B. Healy, Mario Vittes, Mongkol Ekpanyapong, Chinnakrishnan S. Ballapuram, Sung Kyu Lim, Hsien-Hsin S. Lee, Gabriel H. Loh Microarchitectural floorplanning under performance and thermal tradeoff. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Azadeh Davoodi, Ankur Srivastava 0001 Power-driven simultaneous resource binding and floorplanning: a probabilistic approach. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Chung-Kuan Cheng, Jun Gu Buffer planning as an Integral part of floorplanning with consideration of routing congestion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Jen-Yi Wuu, Tung-Chieh Chen, Yao-Wen Chang SoC test scheduling using the B-tree based floorplanning technique. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Gang Xu, Ruiqi Tian, David Z. Pan, Martin D. F. Wong CMP aware shuttle mask floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Azadeh Davoodi, Ankur Srivastava 0001 Simultaneous floorplanning and resource binding: a probabilistic approach. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Chiu-Wing Sham, Evangeline F. Y. Young Congestion prediction in floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Zhuoyuan Li, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Jinian Bian, Hannal Yang, Prashant Saxena, Vijay Pitchumani A divide-and-conquer 2.5-D floorplanning algorithm based on statistical wirelength estimation. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Hua Xiang 0001, Xiaoping Tang, Martin D. F. Wong Bus-driven floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Chang-Tzu Lin, De-Sheng Chen, Yiwen Wang 0003 Robust fixed-outline floorplanning through evolutionary search. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Peter G. Sassone, Sung Kyu Lim A Novel Geometric Algorithm for Fast Wire-Optimized Floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Ansgar Stammermann, Domenik Helms, Milan Schulte, Arne Schulz, Wolfgang Nebel Binding, Allocation and Floorplanning in Low Power High-Level Synthesis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Saurabh N. Adya, Igor L. Markov Consistent placement of macro-blocks using floorplanning and standard-cell placement. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Hiroshi Miyashita, Yoji Kajitani On the equivalence of the sequence pair for rectangle packing to the dimension of partial orders [floorplanning]. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Hung-Ming Chen, Hai Zhou 0001, Fung Yu Young, D. F. Wong 0001, Hannah Honghua Yang, Naveed A. Sherwani Integrated floorplanning and interconnect planning. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Jason Cong, Tianming Kong, David Zhigang Pan Buffer block planning for interconnect-driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Pradeep Prabhakaran, Prithviraj Banerjee, Jim E. Crenshaw, Majid Sarrafzadeh Simultaneous Scheduling, Binding and Floorplanning for Interconnect Power Optimization. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Kazuhiko Eguchi, Junya Suzuki, Satoshi Yamane, Kenji Oshima An Application of Genetic Algorithms to Floorplanning of VLSI. Search on Bibsonomy Rough Sets and Current Trends in Computing The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Amir H. Salek, Jinan Lou, Massoud Pedram A DSM Design Flow: Putting Floorplanning, Technology-Napping, and Gate-Placement Together. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Morteza Saheb Zamani, Graham R. Hellestrand A New Neural Network Approach to the Floorplanning of Hierarchical VLSI Designs. Search on Bibsonomy IWANN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
37Yung-Ming Fang, D. F. Wong 0001 Simultaneous functional-unit binding and floorplanning. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
37Jürgen Herrmann, Reiner Ackermann, Jörg Peters 0003, Detlef Reipa A Multistrategy Learning System and Its Integration into an Interactive Floorplanning Tool. Search on Bibsonomy ECML The full citation details ... 1994 DBLP  DOI  BibTeX  RDF learning and problem solving, applications of machine learning, multistrategy learning
37Sarma Sastry, Jen-I Pi Estimating the minimum of partitioning and floorplanning problems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
37Kevin McCullen, John Thorvaldson, David Demaris, Patrick Lampin A system for floorplanning with hierarchical placement and wiring. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
37Wayne Wei-Ming Dai Hierarchical placement and floorplanning in BEAR. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
37Sarma Sastry, Jen-I Pi An Investigation into Statistical Properties of Partitioning and Floorplanning Problems. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
36Chiu-Wing Sham, Evangeline F. Y. Young Area reduction by deadspace utilization on interconnect optimized floorplan. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF area reduction, Floorplanning
36Ou He, Sheqin Dong, Jinian Bian, Yuchun Ma, Xianlong Hong An effective buffer planning algorithm for IP based fixed-outline SOC placement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF buffer planning, very large scale integration (VLSI), floorplanning, fixed-outline
36Chen-Wei Liu, Yao-Wen Chang Floorplan and power/ground network co-synthesis for fast design convergence. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power/ground analysis, simulated annealing, floorplanning, IR drop, power integrity
36Saurabh N. Adya, Igor L. Markov Combinatorial techniques for mixed-size placement. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, placement, floorplanning
36Hayward H. Chan, Saurabh N. Adya, Igor L. Markov Are floorplan representations important in digital design? Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF B*-tree, floorplanning, sequence pair, circuit layout
36Mario R. Casu, Luca Macchiarulo Floorplan assisted data rate enhancement through wire pipelining: a real assessment. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF through-put, systems-on-chip, floorplanning, wire pipelining
36Wonjoon Choi, Kia Bazargan Hierarchical Global Floorplacement Using Simulated Annealing and Network Flow Area Migration. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF floorplacement, global placement, area migration, Design, Algorithms, simulated annealing, Management, Floorplanning, network flow, hierarchical, Placement and routing
32Cristiana Bolchini, Antonio Miele, Chiara Sandionigi Automated Resource-Aware Floorplanning of Reconfigurable Areas in Partially-Reconfigurable FPGA Systems. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF FPGA, floorplanning, partial reconfiguration
32Ameya R. Agnihotri, Satoshi Ono, Patrick H. Madden An effective approach for large scale floorplanning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF placement, floorplanning, legalization
32Chiu-Wing Sham, Evangeline F. Y. Young, Hai Zhou 0001 Optimizing wirelength and routability by searching alternative packings in floorplanning. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wirelength reduction, Floorplanning
32Yuchun Ma, Zhuoyuan Li, Jason Cong, Xianlong Hong, Glenn Reinman, Sheqin Dong, Qiang Zhou 0001 Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF graph-based approach, microarchitecture pipelining optimization, throughput-aware floorplanning, block pipelining, interconnect pipelining, graph-based algorithm, mixed integer linear programming, wire pipelining
32Andrew B. Kahng Classical floorplanning harmful? Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI floorplanning, block packing and layout, coarse placement, hierarchical design methodology
32Vamsi K. Srikantam, N. Ranganathan, Srikanth Srinivasan 0002 CREAM: Combined Register and Module Assignment with Floorplanning for Low Power Datapath Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Register and Module Assignment Design for low power, High level synthesis, Low power design, Floorplanning
32John Marty Emmert, Dinesh Bhatia A Methodology for Fast FPGA Floorplanning. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF clustering, FPGA, placement, Tabu search, floorplanning
30Zhenyu (Peter) Gu, Yonghong Yang, Jia Wang 0003, Robert P. Dick, Li Shang TAPHS: thermal-aware unified physical-level and high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Xiaoping Tang, Ruiqi Tian, Martin D. F. Wong Optimal redistribution of white space for wire length minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Xiaoping Tang, Martin D. F. Wong On handling arbitrary rectilinear shape constraint. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Johan Berntsson, Maolin Tang A Slicing Structure Representation for the Multi-layer Floorplan Layout Problem. Search on Bibsonomy EvoWorkshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Terry Tao Ye, Giovanni De Micheli Physical Planning for On-Chip Multiprocessor Networks and Switch Fabrics. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Vinod Narayananan, David LaPotin, Rajesh Gupta 0003, Gopalakrishnan Vijayan PEPPER - a timing driven early floorplanner. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PEPPER, timing driven early floorplanner, chip complexities, early analysis, performance critical CMOS chips, wireability, floorplan optimization process, performance, computational complexity, optimisation, timing, system design, circuit layout CAD, CMOS integrated circuits, static timing analysis, integrated circuit layout, area, interconnect delay
27Jia Wang 0003, Hai Zhou 0001 Exploring adjacency in floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Cheng-Yu Wang, Wai-Kei Mak Signal skew aware floorplanning and bumper signal assignment technique for flip-chip. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Renshen Wang, Chung-Kuan Cheng On the complexity of graph cuboidal dual problems for 3-D floorplanning of integrated circuit design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3-D integrated circuits, cuboidal dual, computational complexity
27Hsin-Hua Pan, Hung-Ming Chen, Chia-Yi Chang Buffer/flip-flop block planning for power-integrity-driven floorplanning. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Pritha Banerjee 0001, Megha Sangtani, Susmita Sur-Kolay Floorplanning for Partial Reconfiguration in FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Song Chen 0001, Takeshi Yoshimura Fixed-Outline Floorplanning: Block-Position Enumeration and a New Method for Calculating Area Costs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Chaomin Luo, Miguel F. Anjos, Anthony Vannelli Large-scale fixed-outline floorplanning design using convex optimization techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Hushrav Mogal, Kia Bazargan Thermal-aware floorplanning for task migration enabled active sub-threshold leakage reduction. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Qiang Ma 0002, Evangeline F. Y. Young Network flow-based power optimization under timing constraints in MSV-driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Tsu-Shuan Chang, Manish Kumar, Teng-Sheng Moh, Chung-Li Tseng On the Feasibility of Obtaining a Globally Optimal Floorplanning for an L-shaped Layout Problem. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ren-Jie Lee, Ming-Fang Lai, Hung-Ming Chen Fast Flip-Chip Pin-Out Designation Respin by Pin-Block Design and Floorplanning for Package-Board Codesign. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang An ILP algorithm for post-floorplanning voltage-island generation considering power-network planning. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27William H. Kao, Xiaopeng Dong Digital Block Modeling and Substrate Noise Aware Floorplanning for Mixed Signal SOCs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Chang-Tzu Lin, Tai-Wei Kung, De-Sheng Chen, Yiwen Wang 0003, Ching-Hwa Cheng Noise-Aware Floorplanning for Fast Power Supply Network Design. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Yici Cai, Bin Liu 0007, Jin Shi, Qiang Zhou 0001, Xianlong Hong Power Delivery Aware Floorplanning for Voltage Island Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Pritha Banerjee 0001, Susmita Sur-Kolay, Arijit Bishnu Floorplanning in Modern FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Xiaoping Tang, Ruiqi Tian, Martin D. F. Wong Minimizing wire length in floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Mario R. Casu, Luca Macchiarulo Floorplanning With Wire Pipelining in Adaptive Communication Channels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Tsung-Ying Sun, Sheng-Ta Hsieh, Hsiang-Min Wang, Cheng-Wei Lin Floorplanning Based on Particle Swarm Optimization. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Chih-Yang Peng, Wen-Chang Chao, Yao-Wen Chang, Jyh-Herng Wang Simultaneous block and I/O buffer floorplanning for flip-chip design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Love Singhal, Elaheh Bozorgzadeh Multi-layer Floorplanning on a Sequence of Reconfigurable Designs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang Voltage island aware floorplanning for power and timing optimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Sheqin Dong, Shuyi Zheng, Xianlong Hong Floorplanning for 2.5-D system integration using multi-layer-BSG structure. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Royce L. S. Ching, Evangeline F. Y. Young Shuttle mask floorplanning with modified alpha-restricted grid. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-project wafers, reticle design
27Jia Wang 0003, Hai Zhou 0001, Ping-Chih Wu Processing Rate Optimization by Sequential System Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Liu Yang, Sheqin Dong, Xianlong Hong, Yuchun Ma A Two-stage Incremental Floorplanning Algorithm with Boundary Constraints. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Mario R. Casu, Luca Macchiarulo Throughput-driven floorplanning with wire pipelining. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yici Cai, Bin Liu 0007, Qiang Zhou 0001, Xianlong Hong A Thermal Aware Floorplanning Algorithm Supporting Voltage Islands for Low Power SOC Design. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Grzegorz Blakiewicz, Marcin Jeske, Malgorzata Chrzanowska-Jeske, Jin S. Zhang Substrate noise modeling in early floorplanning of MS-SOCs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Chung-Kuan Cheng Performance constrained floorplanning based on partial clustering [IC layout]. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Chih-Hung Lee, Chin-Hung Su, Shih-Hsu Huang, Chih-Yuan Lin, Tsai-Ming Hsieh Floorplanning with clock tree estimation. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Chung-Kuan Cheng Buffer Planning Algorithm Based on Partial Clustered Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Pawoumodom L. Takouda, Miguel F. Anjos, Anthony Vannelli Global Lower Bounds for the VLSI Macrocell Floorplanning Problem Using Semidefinite Optimization. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Makoto Sugihara, Kazuaki J. Murakami, Yusuke Matsunaga Practical Test Architecture Optimization for System-on-a-Chip under Floorplanning Constraints. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Ning Fu, Shigetoshi Nakatake, Yasuhiro Takashima, Yoji Kajitani Abstraction and optimization of consistent floorplanning with pillar block constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Zion Cien Shen, Chris C. N. Chu Accurate and efficient flow based congestion estimation in floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang Temporal floorplanning using the T-tree formulation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Yan Feng, Dinesh P. Mehta Constrained Floorplanning with Whitespace. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Saurabh N. Adya, Igor L. Markov Fixed-outline floorplanning: enabling hierarchical design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Jae-Gon Kim, Yeong-Dae Kim A linear programming-based algorithm for floorplanning in VLSI design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Steve T. W. Lai, Evangeline F. Y. Young, Chris C. N. Chu A New and Efficient Congestion Evaluation Model in Floorplanning: Wire Density Control with Twin Binary Trees. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Hua Xiang 0001, Xiaoping Tang, Martin D. F. Wong Bus-Driven Floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Malgorzata Chrzanowska-Jeske, Benyi Wang, Garrison W. Greenwood Floorplanning with performance-based clustering. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Hua Tang, Hui Zhang 0057, Alex Doboli Synthesis of continuous-time filters and analog to digital converters by integrated constraint transformation, floorplanning and routing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?? modulator, continuous-time filter, synthesis
27Tianpei Zhang, Sachin S. Sapatnekar Optimized pin assignment for lower routing congestion after floorplanning phase. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Jiangmin Gu, Chip-Hong Chang, Kiat Seng Yeo An interconnect optimized floorplanning of a scalar product macrocell. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Pei-Ning Guo, Toshihiko Takahashi, Chung-Kuan Cheng, Takeshi Yoshimura Floorplanning using a tree representation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Yuchun Ma, Sheqin Dong, Xianlong Hong, Yici Cai, Chung-Kuan Cheng, Jun Gu VLSI floorplanning with boundary constraints based on corner block list. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Shigetoshi Nakatake, Yukiko Kubo, Yoji Kajitani Consistent floorplanning with super hierarchical constraints. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Christine L. Valenzuela, Pearl Y. Wang A Genetic Algorithm for VLSI Floorplanning. Search on Bibsonomy PPSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Frank Wolz, Reiner Kolla A New Floorplanning Method for FPGA Architectural Research. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Abhishek Ranjan, Kia Bazargan, Majid Sarrafzadeh Fast Hierarchical Floorplanning with Congestion and Timing Control. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 784 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license