The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnection with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1972 (15) 1973-1976 (19) 1977-1979 (26) 1980 (19) 1981 (32) 1982 (48) 1983 (36) 1984 (34) 1985 (48) 1986 (62) 1987 (65) 1988 (81) 1989 (98) 1990 (110) 1991 (123) 1992 (134) 1993 (144) 1994 (198) 1995 (181) 1996 (204) 1997 (207) 1998 (153) 1999 (178) 2000 (199) 2001 (145) 2002 (215) 2003 (215) 2004 (257) 2005 (334) 2006 (312) 2007 (327) 2008 (315) 2009 (242) 2010 (138) 2011 (138) 2012 (132) 2013 (121) 2014 (103) 2015 (113) 2016 (122) 2017 (124) 2018 (95) 2019 (102) 2020 (95) 2021 (81) 2022 (84) 2023 (81) 2024 (17)
Publication types (Num. hits)
article(2309) book(5) data(1) incollection(17) inproceedings(3883) phdthesis(95) proceedings(12)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6978 occurrences of 2230 keywords

Results
Found 6322 publication records. Showing 6322 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34Zi-Tsan Chou, Chiun-Chieh Hsu, Jang-Ping Sheu Bubblesort star graphs: a new interconnection network. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bubblesort star graphs, deadlock-free wormhole routing algorithm, dilation two, expansion one, multiple disjoint copies, interconnection network, graph theory, hypercube, multiprocessor interconnection networks, mesh, network routing
34José M. García 0001, A. Flores A Novel Approach to Improve the Performance of Interconnection Networks with Hot - Spots. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF physically distributed memory, single shared memory address space, two-dimensional k-ary n-cube networks, deterministic routing algorithm, interconnection networks, congestion control, message passing, multiprocessor interconnection networks, parallel machines, shared memory multiprocessors, wormhole routing, virtual channels, adaptive algorithms, performance improvement, hot-spots, reconfigurable networks, reconfigurable network
34Doug Burger, David A. Wood 0001 Accuracy vs. performance in parallel simulation of interconnection networks. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Wisconsin Wind Tunnel, cache-coherent shared-memory machines, network simulation models, high network loads, nonuniform traffic patterns, performance evaluation, performance, parallel computers, interconnection networks, parallel architectures, virtual machines, multiprocessor interconnection networks, accuracy, shared memory systems, parallel simulation, parallel applications, cache-coherence protocols, network contention
34Arun K. Jagota A near-optimal algorithm for gossiping in a d-dimensional mesh bus interconnection network. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF near-optimal algorithm, d-dimensional mesh bus interconnection network, geometric characterization, parallel algorithms, multiprocessor interconnection networks, upper bound, gossiping, message transmission
34Marcelo M. de Azevedo, Nader Bagherzadeh, Shahram Latifi Fault-diameter of the star-connected cycles interconnection network. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF star-connected cycles interconnection network, maximum diameter, fault-free, fixed constant, fault tolerance, reliability, graph theory, parallel architectures, fault tolerant computing, graph, multiprocessor interconnection networks, vertex connectivity, fault-diameter
34Sabine R. Öhring, Falguni Sarkar, Sajal K. Das 0001, Dirk H. Hohndel Cayley graph connected cycles: A new class of fixed-degree interconnection networks. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Cayley graph connected cycles, fixed-degree interconnection networks, vertex-symmetric networks, maximally fault tolerant networks, abelian generator-sets, graph theory, fault tolerant computing, multiprocessor interconnection networks, routing algorithms, hypercube networks, network routing, broadcasting algorithms, cube-connected cycles, average distance
34Dinesh Bhatia, James Haralambides Resource requirements for field programmable interconnection chips. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field programmable interconnection chips, n-permutation graph, network property, routing delays, programmable switches, routing paths, specific I/O permutations, user-configured interconnection, VLSI, VLSI, graph theory, network routing, permutation networks, integrated circuit interconnections, Benes network
34Xian Cheng, Oliver C. Ibe Reliability of a Class of Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF extra-stage interconnectionnetworks, fault-free path, input-output pair, networkreliability, broadcast reliability, recursive expression, lower bound, probability, multiprocessor interconnection networks, upper bound, multistage interconnection networks, tight bounds, reliability theory, terminal reliability
32Ioana Zelina, Grigor Moldovan, Petrica Pop Sitar Some Communication Aspects in Extended Fibonacci Cubes. Search on Bibsonomy SAINT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Rubén de Juan-Marín, Vicent Cholvi, Ernesto Jiménez, Francesc D. Muñoz-Escoí Parallel Interconnection of Broadcast Systems with Multiple FIFO Channels. Search on Bibsonomy OTM Conferences (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Alexey Kupriyanov, Frank Hannig, Dmitrij Kissler, Jürgen Teich, Julien Lallet, Olivier Sentieys, Sébastien Pillement Modeling of Interconnection Networks in Massively Parallel Processor Architectures. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Li Shang, Li-Shiuan Peh, Niraj K. Jha PowerHerd: a distributed scheme for dynamically satisfying peak-power constraints in interconnection networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Young-Su Kwon, C.-M. Kyung ATOMi: An Algorithm for Circuit Partitioning Into Multiple FPGAs Using Time-Multiplexed, Off-Chip, Multicasting Interconnection Architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Nilesh Choudhury, Yogesh Mehta, Terry Wilmarth, Eric J. Bohm, Laxmikant V. Kalé Scaling an optimistic parallel simulation of large-scale interconnection networks. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Jin Liu, Keqing He, Bing Li 0010, Fei He A Perspective of Fusing Ontology and Metamodeling Architecture in Interconnection Environment. Search on Bibsonomy SKG The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Shuo-Yen Robert Li, Xuesong Tan Theory on Switch Preservation under 2-stage Interconnection. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Young-Su Kwon, Bong-Il Park, Chong-Min Kyung SCATOMi: Scheduling Driven Circuit Partitioning Algorithm for Multiple FPGAs using Time-multiplexed, Off-chip, Multicasting Interconnection Architecture. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Hangsheng Wang, Xinping Zhu, Li-Shiuan Peh, Sharad Malik Orion: a power-performance simulator for interconnection networks. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ORION
32Sacki Agelis, Sofia Jacobsson, Magnus Jonsson, Arne Alping, Per Ligander Modular Interconnection System for Optical PCB and Backplane Communication. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Yanggon Kim, Tse-Yun Feng The Universality of a Class of (2 log2N-1)-stage Interconnection Network. Search on Bibsonomy FTDCS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Forrest Brewer, Barry M. Pangrle, Andrew Seawright Interconnection synthesis with geometric constraints. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
32Mark J. Karol Optical interconnection using ShuffleNet multihop networks in multi-connected ring topologies. Search on Bibsonomy SIGCOMM The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
32Carol V. Gura, Jacob A. Abraham Average Interconnection Length and Interconnection Distribution Based on Rent's Rule. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
32Philip J. Bernhard, Daniel J. Rosenkrantz Partitioning Message Patterns for Bundled Omega Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF bundled omega networks, communication conflict, conflicting messages, interconnection links, on-line heuristics, computational complexity, interconnection network, heuristic, multiprocessor, partitioning, NP-complete, multiprocessor interconnection networks, communication complexity, telecommunication network routing, message routing, message patterns, performance ratio
30Tianxu Zhao, Xuchao Duan, Yue Hao, Peijun Ma Reliability Estimation Model of ICs Interconnect Based on Uniform Distribution of Defects on a Chip. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection lifetime, electromigration effect, defect
30Dominic Duggan Type-Safe linking with recursive DLLs and shared libraries. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Module Interconnection Languages, Dynamic Linking, Shared Libraries, Recursive Modules
30Gang Han, Robert H. Klenke, James H. Aylor Performance Modeling of Hierarchical Crossbar-Based Multicomputer Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF crossbar interconnection networks, simulation, performance evaluation, modeling, Multicomputer systems
30Indhira Garcés, Daniel Franco 0002, Emilio Luque Analytical Modeling of the Network Traffic Performance. Search on Bibsonomy MASCOTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Wormhole Routing Protocol Performance, Network Traffic Simulation, Interconnection Network Simulation Modeling, Latency Behavior Analytical Model
30Javier Navaridas, Luis A. Plana, José Miguel-Alonso, Mikel Luján, Stephen B. Furber SpiNNaker: impact of traffic locality, causality and burstiness on the performance of the interconnection network. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power-efficient architectures, performance evaluation, interconnection networks, system-on-chip, real-time applications, spiking neural networks, traffic characterization, massively parallel systems
30Cathy Qun Xu, Chun Jason Xue, Bessie C. Hu, Edwin Hsing-Mean Sha Computation and data transfer co-scheduling for interconnection bus minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, interconnection network, data path synthesis, clustered processors
30Zheng Cao, Jianwei Xu, Mingyu Chen 0001, Gui Zheng, Huiwei Lv, Ninghui Sun HPPNetSim: a parallel simulation of large-scale interconnection networks. Search on Bibsonomy SpringSim The full citation details ... 2009 DBLP  DOI  BibTeX  RDF conservative approach, large-scale interconnection network, super-linear speedup, parallel discrete event simulation
30Jirí Jaros Evolutionary optimization of multistage interconnection networks performance. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multistage interconnection networks, collective communications, evolutionary design, communication scheduling
30Shu-Hsuan Chou, Chien-Chih Chen, Chi-Neng Wen, Yi-Chao Chan, Tien-Fu Chen, Chao-Ching Wang, Jinn-Shyan Wang No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF level-1 non-uniform cache architecture, ring interconnection, single-cycle transactions, multi-core, NOC, SOC, arbitration, memory structure
30Tiziana Calamoneri, Saverio Caminiti, Rossella Petreschi A General Approach to L ( h, k )-Label Interconnection Networks. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF L(h, k)-labeling, multistage interconnection network, channel assignment problem
30John D. Garofalakis, Eleftherios Stergiou An Analytical Performance Model for Multistage Interconnection Networks with Blocking. Search on Bibsonomy CNSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance analysis, Multistage Interconnection Networks, Blocking, Switching Networks
30Liquan Xiao, Kefei Wang Reliable Opto-Electronic Hybrid Interconnection Network. Search on Bibsonomy ISPAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multicast, Interconnection Network, computer architecture, adaptive routing
30David Wentzlaff, Patrick Griffin, Henry Hoffmann, Liewei Bao, Bruce Edwards, Carl Ramey, Matthew Mattina, Chyi-Chang Miao, John F. Brown III, Anant Agarwal On-Chip Interconnection Architecture of the Tile Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MIMD processors, parallel architectures, mesh networks, multicore architectures, on-chip interconnection networks
30Vassos Soteriou, Noel Eisley, Li-Shiuan Peh Software-directed power-aware interconnection networks. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Software-directed power reduction, simulation, interconnection networks, dynamic voltage scaling, on-chip networks, communication links
30Xuejun Yang, Huizhan Yi, Xiangli Qu, Haifang Zhou Compiler-directed power optimization of high-performance interconnection networks for load-balancing MPI applications. Search on Bibsonomy Frontiers Comput. Sci. China The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compiler-directed power optimization, high performance interconnection network, MPI application
30Victor W. Liu, Chiuyuan Chen, Richard B. Chen Optimal all-to-all personalized exchange in d -nary banyan multistage interconnection networks. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multistage interconnection network, Banyan network, All-to-all communication, Latin square, All-to-all personalized exchange
30Daniel Lüdtke, Dietmar Tutsch Lossless static vs. dynamic reconfiguration of interconnection networks in parallel and distributed computer systems. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF static reconfiguration, performance, routing, interconnection networks, dynamic reconfiguration
30Antonio Flores, Juan L. Aragón, Manuel E. Acacio Efficient Message Management in Tiled CMP Architectures Using a Heterogeneous Interconnection Network. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Heterogeneus On-Chip Interconnection Network, Chip-Multiprocessor, Energy-Efficient Architectures, Parallel Scientific Applications
30Tomoyoshi Fukuta, Hiroshi Masuyama, Toshihiko Sasama Hierarchical System-Level Diagnosis Based on a Voting Scheme for Identical-Unit-Interconnection Systems. Search on Bibsonomy ICN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF voting sheme, interconnection systems, hypercube, tree, array, system-level diagnosis
30Hai Zhuge The open and autonomous interconnection semantics. Search on Bibsonomy ICEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnection environment, semantics, autonomy
30Behrooz Parhami, Mikhail A. Rakov Perfect Difference Networks and Related Interconnection Structures for Parallel and Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hyperstar, low-diameter network, two-hop connectivity, interconnection network, Bipartite graph, diameter, degree, chordal ring, regular network, bisection width
30Jang Hyun Baek, Michalis Faloutsos, Ho Yeon Chung Performance Evaluation of a New MAC Protocol for the CDMA Interconnection Network. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CDMA interconnection network, virtual token bus with cyclic chain (VTBC)
30Hongbing Fan, Yu-Liang Wu Crossbar based design schemes for switch boxes and programmable interconnection networks. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF switch matrix, FPGA, routing, interconnection network, layout, crossbar, switch box
30Vassos Soteriou, Noel Eisley, Li-Shiuan Peh Software-directed power-aware interconnection networks. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic voltage, networks on-a-chip (NoC), software-directed power reduction, simulation, interconnection networks, scaling, communication links
30Ching-Wen Chen, Chang-Jung Ku, Chih-Hung Chang Design Schemes and Performance Analysis of Dynamic Rerouting Interconnection Networks for Tolerating Faults and Preventing Collisions. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF destination tag routing, fault tolerance, performance, Parallel computing, multistage interconnection network (MIN), collision, dynamic rerouting
30Yang Yu, Yulu Yang Performance analysis of practical RDT interconnection networks with SINOMP. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RDT, RDT(2,2,1)/a, interconnection network simulator, average latency, average distance
30Lei Wang 0015, Zhiping Chen 0002, Xinhua H. Jiang Ringed Petersen Spheres Connected Hypercube Interconnection Networks. Search on Bibsonomy ICECCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Petersen graph, Interconnection Networks, Hypercube, Ring
30Navaratnasothie Selvakkumaran, Phiroze N. Parakh, George Karypis Perimeter-degree: a priori metric for directly measuring and homogenizing interconnection complexity in multilevel placement. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection complexity, multilevel global placement, nonhomogeneity, perimeter-degree, congestion, routability
30Xuning Chen, Li-Shiuan Peh Leakage power modeling and optimization in interconnection networks. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection networks, leakage power, power optimization
30Roger D. Chamberlain, Mark A. Franklin, Ch'ng Shi Baw Gemini: An Optical Interconnection Network for Parallel Processing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fair scheduling protocols, performance evaluation, multiprocessor interconnection networks, Optical communication
30Fabrizio Lombardi, Nohpill Park Testing Layered Interconnection Networks. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault Tolerance, Interconnection Networks, Fault Detection, Network Flow, Switch
30Monica Alderighi, Fabio Casini, Sergio D'Angelo, Davide Salvi, Giacomo R. Sechi A Fault-Tolerant FPGA-based Multi-Stage Interconnection Network for Space Applications. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault Tolerance, Field programmable Gate Arrays, Multistage Interconnection Network, Space Applications
30Yamin Li, Shietung Peng, Wanming Chu Efficient Communication in Metacube: A New Interconnection Network. Search on Bibsonomy ISPAN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Interconnection networks, hypercube, routing algorithms, personalized communication, total exchange
30Luan Ling Lee, Alberto José Centeno Filho D-ARM: a new proposal for multi-dimensional interconnection networks. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF mesh network topology, performance analysis, interconnection network, network simulation
30Zhenshan Zhang, Tse-Yun Feng Multifunctional Optical Switches for Multistage Interconnection Networks. Search on Bibsonomy FTDCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF free-space, PLZT, broadcasting, interconnection, switch, optical, polarization, multistage
30Sugath Warnakulasuriya, Timothy Mark Pinkston A Formal Model of Message Blocking and Deadlock Resolution in Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Deadlock detection/resolution, network resource model, true fully-adaptive routing, virtual cut-through/wormhole switching, interconnection network
30Vijay Lakamraju, Zahava Koren, C. Mani Krishna 0001 Synthesis of Interconnection Networks: A Novel Approach. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low diameter, fault tolerance, scalability, Interconnection networks, filtering, random regular graphs
30Xin Yuan 0001, Rami G. Melhem, Rajiv Gupta 0001 Distributed Path Reservation Algorithms for Multiplexed All-Optical Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF mesh-like networks, path reservation, routing protocols, distributed control, wavelength-division multiplexing, time-division multiplexing, Optical interconnection networks
30Daniel Franco 0002, Indhira Garcés, Emilio Luque Avoiding Communication Hot-Spots in Interconnection Networks. Search on Bibsonomy HICSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hot-spot avoidance, uniform latency, distributed routing balancing, Interconnection networks, adaptive routing, random routing, traffic distribution
30Vassilios V. Dimakopoulos, Nikitas J. Dimopoulos A Theory for Total Exchange in Multidimensional Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF multidimensional networks, interconnection networks, Collective communications, packet-switched networks, total exchange
30Dilip K. Saikia, Ranjan K. Sen Two Ranking Schemes for Efficient Computation on the Star Interconnection Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Star interconnection network, ASCEND/DESCEND algorithm, pipelined algorithm, node ranking, order preserving communication, parallel processing, Fast Fourier Transform (FFT), matrix multiplication
30Rosa Alcover, Pedro López 0001, José Duato, Luisa Zúnica Interconnection Network Design: A Statistical Analysis of Interactions between Factors. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Performance evaluation, Interconnection networks, Experimental design
30Nitin K. Singhvi, Kanad Ghose A Formal Study of the Mcube Interconnection Network. Search on Bibsonomy Euro-Par The full citation details ... 1995 DBLP  DOI  BibTeX  RDF interconnection Network, hypercube, massively parallel, twisted cube
30Qutaibah M. Malluhi, Magdy A. Bayoumi The Hierarchical Hypercube: A New Interconnection Topology for Massively Parallel Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hierarchicalhypercube, logarithmic diameter, one-to-one transfer, divide &conquer class, performance, parallel algorithms, computational complexity, parallels, time complexity, hypercube networks, symmetry, massively parallel systems, interconnection topology, one-to-all broadcasting
30Sabine R. Öhring, Sajal K. Das 0001 The Folded Petersen Network: A New Versatile Multiprocessor Interconnection Topology. Search on Bibsonomy WG The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Petersen graph, fault-tolerance, routing, interconnection network, broadcasting, hypercube, embedding, tree, mesh
30Peter G. Harrison, Naresh M. Patel The Representation of Multistage Interconnection Networks in Queuing Models of Parallel Systems Search on Bibsonomy J. ACM The full citation details ... 1990 DBLP  DOI  BibTeX  RDF flow-equivalent server, performance evaluation, Markov process, multistage interconnection network, crossbar switch, closed queuing network, delta network
29Aniello Iazzetta, C. Sanges, Umberto Scafuri Tree-Based Communication Model for WK-Recursive Networks. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF tree-based communication model, WK interconnection network topologies, fully scalable structure, collective communication mechanisms design, multicasting, broadcasting, multiprocessor interconnection networks, network topology, trees (mathematics), massively parallel systems, WK-recursive networks
29Chi-Hsiang Yeh, Behrooz Parhami Swapped networks: unifying the architectures and algorithms of a wide class of hierarchical parallel processors. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF swapped networks, hierarchical parallel processors, high-dimensional meshes, generalized hypercubes, fixed-degree building blocks, parallel algorithms, interconnection networks, parallel architectures, parallel architectures, hypercubes, multiprocessor interconnection networks
29Chun-Hsing Wu, Chun-Chao Yeh, Jie-Yong Juang A World-Wide Web server on a multicomputer system. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF World-Wide Web server, cell-based switching, Internet, interconnection network, multiprocessor interconnection networks, web servers, network operating systems, network servers, multicomputer system
29Toshinori Yamada, Koji Yamamoto, Shuichi Ueno Fault-tolerant graphs for hypercubes and tori. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fault-tolerant graphs, fault-tolerant multiprocessor interconnection networks, graph theory, fault tolerant computing, hypercubes, multiprocessor interconnection networks, hypercube networks, subgraph, tori
29Byoung-Joon Min, Sang-Seok Shin, Kee-Wook Rim Design and analysis of a multiprocessor system with extended fault tolerance. Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF extended fault tolerance, scalable multiprocessor system, tree-type interconnection networks, computational complexity, reliability, fault tolerant computing, multiprocessor interconnection networks, latency, multiprocessing systems, multiprocessor system, performance penalty, implementation complexity
29K. C. Lee A Virtual Bus Architecture for Dynamic Parallel Processing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF virtual bus architecture, dynamic parallel processing, parallel/distributed machine, end-to-end communication bandwidth, communicationpatterns, data collection operations, nonuniformtraffic, open system parallel interface, open system communication backbone, scheduling, interconnection network, delays, multiprocessor interconnection networks, open systems, dynamic load balancing, network interfaces, queuing delay
28Sugath Warnakulasuriya, Timothy Mark Pinkston Characterization of Deadlocks in Interconnection Networks. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF deadlocks characterisation, true deadlock detection, bidirectionality, routing adaptivity, node degree, deadlock formation, routing flexibility blocked messages, resource dependencies, simulator, interconnection networks, multiprocessor interconnection networks, virtual channels, buffer size, design parameters, deadlock-free routing algorithms
28Yeimkuan Chang Partitionability of the Multistage Interconnection Networks. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF network partitionability, physically independent subsystems, communication interference, permutation functions, mapping scheme, hypercube structure, fault tolerance, parallel architectures, fault tolerant computing, hypercube networks, multistage interconnection networks, multistage interconnection networks, MIN, switches
28Liquan Xiao, Mingxuan Zhang, Xingming Zhou A multicast protocol in multistage interconnection networks. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multicast forwarding, transmission time, broadcast performance, performance evaluation, protocols, communication complexity, wormhole-routed, multistage interconnection networks, multistage interconnection networks, network traffic, multistage networks, massively parallel systems, multicast protocol
28Byoung Seob Park, Sung Chun Kim FBSF: a new fast packet switching fabric based-on multistage interconnection network with multiple outlets. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF packet switching fabric, FBSF, multiple outlets, ATM switch architecture, FAB Banyan Switching Fabrics, Batcher sorter, radix-r double shuffle network, r-packet distributors, parallel architectures, packet switching, multistage interconnection networks, multistage interconnection network, switch fabrics
28Dedy Dewanto Tjhie, Helmut Rzehak Design and Performance Evaluation of Network Interconnection Architectures. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF network interconnection architectures, protocol layer, output process, mean values, GI/G/1-K model, GI/G/1 model, performance evaluation, power, local area network, response time, performance metrics, high speed network, gateways, end-to-end delay, queueing network models, LAN interconnection, protocol architectures, service process, protocol stacks, buffer requirement, arrival process
28Jennifer Rexford, James W. Dolter, Wu-chang Feng, Kang G. Shin PP-MEss-SIM: a simulator for evaluating multicomputer interconnection networks. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PP-MEss-SIM, multicomputer interconnection network evaluation, object-oriented discrete-event simulation environment, communication workloads, routing-switching algorithms, router models, low-level device specification, code decoupling, independent code development, application workloads, object-oriented programming, virtual machines, multiprocessor interconnection networks, discrete event simulation, network topology, network topologies, network design, high-level architectures, network routing
28Ramanagopal V. Vogety, Yashwant K. Malaiya, Anura P. Jayasumana Interconnection of FDDI-II networks through an ATM backbone - An analysis. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF FDDI-II networks, ATM backbone, gateway model, asynchronous transfer mode, interconnection, waiting time, FDDI, queue length, LAN interconnection
28Jianxun Ding, Laxmi N. Bhuyan Finite Buffer Analysis of Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF finite buffer analysis, synchronous packet-switched mode, small clock periods, packet-switching performance, multiprocessor interconnection networks, packet switching, multistage interconnection networks, MIN, performance improvement, finite buffers
28Vikram S. Adve, Mary K. Vernon Performance Analysis of Mesh Interconnection Networks with Deterministic Routing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF mesh interconnection networks, single-hit buffers, infinite buffers, nonadaptive deadlock-free routing scheme, closedqueueing network, message blocking, message pipelining, arbitrary source-destinationprobability distribution, 2D networks, shared-memory traffic, two dimensional network, performance evaluation, performance analysis, concurrency control, probability, multiprocessor interconnection networks, queueing theory, wormhole routing, network routing, virtual channels, deterministic routing, k-ary n-cube networks, deadlock-free routing algorithm, performance issues
28Barry G. Douglass Rearrangeable Three-Stage Interconnection Networks and Their Routing Properties. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Clos three-stage networks, switch settings, computational complexity, interconnection network, multiprocessor interconnection networks, permutations, routing scheme, rearrangeable network, network complexity
28Imrich Chlamtac, Aura Ganz, Martin G. Kienzle An HIPPI Interconnection System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF high performance parallel interface, HIPPI interconnection system, connection-oriented communication standard, very-high-speed interconnection systems, high-end computing systems, centralized policy, broadcast policy, distributed policy, protocols, standard, network interfaces, crossbar switch, system configurations, cost measure
28Nobuo Funabiki, Yoshiyasu Takefuji, Kuo Chun Lee Comparisons of Seven Neural Network Models on Traffic Control Problems in Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF traffic control problems, decay term, conflict-free switching configurations, hysteresis McCullock-Pitts neuron model, parallel algorithms, heuristics, multiprocessor interconnection networks, neural nets, multistage interconnection networks, simulation results, neural network models, neuron models
28Ashwini K. Nanda, Laxmi N. Bhuyan Design and Analysis of Cache Coherent Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF cache coherent multistage interconnection networks, multiple copy cache coherence protocol, multistage bus network, coherence traffic, performance evaluation, protocols, multiprocessor interconnection networks, simulation models
28Chienhua Chen, Dharma P. Agrawal, J. Richard Burke dBCube: A New Class of Hierarchical Multiprocessor Interconnection Networks with Area Efficient Layout. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF dBCube, hierarchical multiprocessor interconnection networks, area efficient layout, node connectivity, compound graph, necklace, performance evaluation, VLSI, graphs, hypercube, multiprocessor interconnection networks, hierarchical networks, wafer scale integration, de Bruijn graph, hypercube topology, Communication locality
28Barry Wilkinson On Crossbar Switch and Multiple Bus Interconnection Networks with Overlapping Connectivity. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF multiple bus interconnection networks, overlapping connectivity, overlapping connectivity networks, multiprocessor interconnection networks, neural computers, dataflow computers
28Miguel Angel Fiol, Anna S. Lladó The Partial Line Digraph Technique in the Design of Large Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF partial line digraph technique, large interconnection networks, maximum out-degree, Kautz digraphs, distributed systems, design, routing, connectivity, multiprocessor interconnection networks, directed graphs, expandability
28J. Richard Burke, Chienhua Chen, Tsung-Ying Lee, Dharma P. Agrawal Performance Analysis of Single Stage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF single stage interconnection networks, recirculation, analytical probability model, simulation, performance evaluation, routing, performance analysis, multiprocessor interconnection networks, queueing theory, conflict resolution, buffers, switches, processors, loading, CPU time
28Anant Agarwal Limits on Interconnection Network Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF buffered networks, interconnection network performance, wiredelays, two-dimensionalnetwork, switch delays, four-dimensional networks, networkbandwidth requirements, multiprocessor interconnection networks, latency, direct networks, network contention, performanceevaluation, closed-form expression, packet size, communication locality
28William J. Dally Performance Analysis of k-Ary n-Cube Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF k-ary n-cube interconnection networks, VLSI communication networks, average case throughput, low-dimensional networks, VLSI, multiprocessor interconnection networks, switches
28Sivarama P. Dandamudi, Derek L. Eager Hierarchical Interconnection Networks for Multicomputer Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF hierarchical interconnection networks, cost-benefit ratios, performance enhancement schemes, performance evaluation, performance analysis, static analysis, multiprocessor interconnection networks, queueing theory, routing algorithms, queueing analysis, multicomputer systems, queueing delays
28Sheldon B. Akers Jr., Balakrishnan Krishnamurthy A Group-Theoretic Model for Symmetric Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF group-theoretic model, symmetric interconnection networks, Cayley graph model, graph theory, multiprocessor interconnection networks, star graphs, combinatorial problems, finite groups, pancake graphs
28Vijay P. Kumar, Andrew L. Reibman Failure Dependent Performance Analysis of a Fault-Tolerant Multistage Interconnection Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF augmented shuffle-exchange networks, ASENs, performance evaluation, fault tolerance, fault-tolerant, performance, performance analysis, fault tolerant computing, multiprocessor interconnection networks, multistage interconnection networks, reliability modeling, system reliability
28Anujan Varma, Cauligi S. Raghavendra Fault-Tolerant Routing in Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF k-stage shuffle/exchange networks, fault tolerant computing, multiprocessor interconnection networks, multiprocessor systems, multistage interconnection networks, multiple faults, fault tolerant routing, processing elements, Omega network
28Israel Gazit, Miroslaw Malek On the Number of Permutations Performable by Extra-Stage Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF number of permutations, extra-stage multistage interconnection networks, hypercube enumeration problem, graph theory, multiprocessor interconnection networks, graph-theoretical approach
Displaying result #101 - #200 of 6322 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license