|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 10061 occurrences of 3641 keywords
|
|
|
Results
Found 17347 publication records. Showing 17347 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
29 | Chris GauthierDickey, Daniel Zappala, Virginia Mary Lo, James Marr |
Low latency and cheat-proof event ordering for peer-to-peer games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOSSDAV ![In: Network and Operating System Support for Digital Audio and Video, 14th International Workshop, NOSSDAV 2004, Cork, Ireland, June 16-18, 2004, Proceedings, pp. 134-139, 2004, ACM, 1-58113-801-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
cheat-proof, peer-to-peer, interactive, games, distributed, low-latency |
29 | Guohong Cao |
A Scalable Low-Latency Cache Invalidation Strategy for Mobile. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 15(5), pp. 1251-1265, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
mobile computing, caching, latency, power conservation, Invalidation report |
29 | Tiberiu Chelcea, Steven M. Nowick |
Low-Latency Asynchronous FIFO's Using Token Rings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2-6 April 2000, Eilat, Israel, pp. 210-, 2000, IEEE Computer Society, 0-7695-0586-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
VLSI, asynchronous, FIFO, low-latency, digital design, token ring |
29 | Shashank S. Nemawarkar, Guang R. Gao |
Latency Tolerance: A Metric for Performance Analysis of Multithreaded Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: 11th International Parallel Processing Symposium (IPPS '97), 1-5 April 1997, Geneva, Switzerland, Proceedings, pp. 227-232, 1997, IEEE Computer Society, 0-8186-7792-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
performance analysis metric, multithreaded multiprocessor systems, tolerance index, program workload parameters, fine grain parallel program workloads, parallel architectures, multithreaded architectures, latency tolerance, closed queueing networks, analytical framework |
29 | Craig S. Steele, Jeffrey T. Draper, Jeff Koller, C. LaCour |
A Bus-Efficient Low-Latency Network Interface for the PDSS Multicomputer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 6th International Symposium on High Performance Distributed Computing, HPDC '97, Portland, OR, USA, August 5-8, 1997., pp. 213-222, 1997, IEEE Computer Society, 0-8186-8117-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
bus-efficient low-latency network interface, PDSS multicomputer, unprivileged code, cache-to-cache communications, distributed barrier-synchronization mechanism, single-chip implementation, commodity processor, routing, multiprocessor interconnection networks, interconnect, cache coherence protocols |
29 | Ken Yocum, Jeffrey S. Chase, Andrew J. Gallatin, Alvin R. Lebeck |
Cut-Through Delivery in Trapeze: An Exercise in Low-Latency Messaging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 6th International Symposium on High Performance Distributed Computing, HPDC '97, Portland, OR, USA, August 5-8, 1997., pp. 243-252, 1997, IEEE Computer Society, 0-8186-8117-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
message switching, cut-through delivery, low-latency messaging, I/O bus bandwidths, host I/O DMA transfers, network traversal, messaging substrate, network memory, Trapeze prototype, virtual memory pages, Myrinet cluster, DEC AlphaStations, network interfaces, computer clusters, network technology, application performance, messaging systems |
29 | Kai-Yeung Siu, Hong-Yi Tzeng |
On the Latency in Client/Server Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the 4th International Conference on Computer Communications and Networks (ICCCN '95), September 20-23, 1995, Las Vegas, Nevada, USA, pp. 88, 1995, IEEE Computer Society, 0-8186-7180-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
distributed systems, Latency, complexity model |
29 | Michael H. Woodbury, Kang G. Shin |
Measurement and Analysis of Workload Effects on Fault Latency in Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(2), pp. 212-216, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
workload effects, fault latency, control computer systems, multiple latent faults, coverage failure, synthetic work generator, hardware fault injector, NASA Airlab, software engineering, real-time systems, real-time systems, fault tolerant computing, multiprocessing systems, program testing, system recovery, control systems, recovery mechanisms, fault-tolerant multiprocessor |
28 | Bradford M. Beckmann, David A. Wood 0001 |
Managing Wire Delay in Large Chip-Multiprocessor Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 4-8 December 2004, Portland, OR, USA, pp. 319-330, 2004, IEEE Computer Society, 0-7695-2126-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Grey Ballard, James Demmel, Olga Holtz, Oded Schwartz |
Communication-optimal parallel and sequential Cholesky decomposition: extended abstract. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2009: Proceedings of the 21st Annual ACM Symposium on Parallelism in Algorithms and Architectures, Calgary, Alberta, Canada, August 11-13, 2009, pp. 245-252, 2009, ACM, 978-1-60558-606-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Cholesky decomposition, communication avoiding, algorithm, lower bound, latency, bandwidth |
28 | Yeim-Kuan Chang, Yi-Wei Ting, Tai-Hong Lin |
Dynamic Cache Invalidation Scheme in IR-Based Wireless Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 22nd International Conference on Advanced Information Networking and Applications, AINA 2008, GinoWan, Okinawa, Japan, March 25-28, 2008, pp. 697-704, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
wireless networks, dynamic, latency, cache consistency, invalidation report |
28 | Arunesh Mishra, Minho Shin, William A. Arbaugh |
An empirical analysis of the IEEE 802.11 MAC layer handoff process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Commun. Rev. ![In: Comput. Commun. Rev. 33(2), pp. 93-102, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
performance, authentication, IEEE 802.11, latency, handoff, association, scanning, probe |
28 | Hantak Kwak, Ben Lee, Ali R. Hurson, Suk-Han Yoon, Woo-Jong Hahn |
Effects of Multithreading on Cache Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(2), pp. 176-184, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
memory tolerance, context switching and locality, Multithreading, memory latency |
28 | John Drummond, Michael Wu |
A low level analysis of the realtime Mach distributed operating system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 1st IEEE Real-Time Technology and Applications Symposium, Chicago, Illinois, USA, May 15-17, 1995, pp. 46-47, 1995, IEEE Computer Society, 0-8186-6980-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
real-time Mach distributed operating system, low level analysis, real-time operating system software development arena, segmented appraisal, specific element analysis, Rhealstone benchmark, task switch time, preemption time, interrupt latency time, semaphore shuffling time, deadlock breaking time, interprocess communication latency time, conditioned environment, evaluation, real-time systems, distributed processing, software performance evaluation, operating systems (computers), network operating systems, measuring techniques |
28 | Allison Woodruff, Michael Stonebraker |
Buffering of Intermediate Results in Dataflow Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VL ![In: Proceedings 11th International IEEE Symposium on Visual Languages, Darmstadt, Germany, September 5-9, 1995, pp. 187-, 1995, IEEE Computer Society, 0-8186-7045-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
optimal buffer allocation, buffer contents, user requests, latency reduction techniques, history mechanisms, query response time, visual dataflow languages, Tioga, graphical application development tool, software tools, latency, visual programming, NP-hard, data flow analysis, buffer management, diagrams, buffer storage, storage allocation, heuristic programming, heuristic methods, application generators, performance enhancements, average response time, dataflow diagrams |
28 | Crispin Cowan, Hanan Lutfiyya, Michael A. Bauer 0001 |
Performance Benefits of Optimistic Programming: A Measure of HOPE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 4th International Symposium on High Performance Distributed Computing (HPDC '95), Washington, DC, USA, August 2-4, 1995., pp. 197-204, 1995, IEEE Computer Society, 0-8186-7088-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
optimistic programming, inter-node communications latency, parallel programming, concurrency, concurrency control, programming environments, programming environment, latency, software performance evaluation, programming model, prototype implementation, performance benefits |
26 | Toshinori Sato, Itsujiro Arita |
Execution Latency Reduction via Variable Latency Pipeline and Instruction Reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2001: Parallel Processing, 7th International Euro-Par Conference Manchester, UK August 28-31, 2001, Proceedings, pp. 428-438, 2001, Springer, 3-540-42495-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Jilong Kuang, Laxmi N. Bhuyan |
LATA: a latency and throughput-aware packet processing system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 36-41, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multicore architecture, packet processing, parallel-pipelining |
26 | Giorgos Papadakis, Katerina Mania |
The cognitive impact of head tracking latency in immersive simulations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APGV ![In: Proceedings of the 6th Symposium on Applied Perception in Graphics and Visualization, APGV 2009, Chania, Crete, Greece, September 30 - October 2, 2009, pp. 136, 2009, ACM, 978-1-60558-743-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
26 | Avishay Traeger, Ivan Deras, Erez Zadok |
DARC: dynamic analysis of root causes of latency distributions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 2008 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS 2008, Annapolis, MD, USA, June 2-6, 2008, pp. 277-288, 2008, ACM, 978-1-60558-005-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
root cause, dynamic instrumentation |
26 | Viswanath Nagarajan, R. Ravi 0001 |
The Directed Minimum Latency Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPROX-RANDOM ![In: Approximation, Randomization and Combinatorial Optimization. Algorithms and Techniques, 11th International Workshop, APPROX 2008, and 12th International Workshop, RANDOM 2008, Boston, MA, USA, August 25-27, 2008. Proceedings, pp. 193-206, 2008, Springer, 978-3-540-85362-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
26 | George Michelogiannakis, Dionisios N. Pnevmatikatos, Manolis Katevenis |
Approaching Ideal NoC Latency with Pre-Configured Routes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: First International Symposium on Networks-on-Chips, NOCS 2007, 7-9 May 2007, Princeton, New Jersey, USA, Proceedings, pp. 153-162, 2007, IEEE Computer Society, 978-0-7695-2773-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Yuhua Chen, Wenjing Tang, Pramode K. Verma |
Latency in Grid over Optical Burst Switching with Heterogeneous Traffic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, Third International Conference, HPCC 2007, Houston, USA, September 26-28, 2007, Proceedings, pp. 334-345, 2007, Springer, 978-3-540-75443-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Raúl Martínez, Francisco José Alfaro, José L. Sánchez 0002 |
Comparing the latency performance of the DTable and DRR schedulers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-8, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Steen Larsen, Parthasarathy Sarangam, Ram Huggahalli |
Architectural Breakdown of End-to-End Latency in a TCP/IP Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 19th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2007), 24-27 October 2007, Gramado, RS, Brazil, pp. 195-202, 2007, IEEE Computer Society, 0-7695-3014-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Xinyuan Wang 0005, Shiping Chen 0003, Sushil Jajodia |
Network Flow Watermarking Attack on Low-Latency Anonymous Communication Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
S&P ![In: 2007 IEEE Symposium on Security and Privacy (S&P 2007), 20-23 May 2007, Oakland, California, USA, pp. 116-130, 2007, IEEE Computer Society, 0-7695-2848-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Kelvin C. W. So, Emin Gün Sirer |
Latency and bandwidth-minimizing failure detectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroSys ![In: Proceedings of the 2007 EuroSys Conference, Lisbon, Portugal, March 21-23, 2007, pp. 89-99, 2007, ACM, 978-1-59593-636-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
wide-area networks, overlays, failure detection |
26 | Kwanho Kim, Se-Joong Lee, Kangmin Lee, Hoi-Jun Yoo |
An arbitration look-ahead scheme for reducing end-to-end latency in networks on chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 2357-2360, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
26 | Haibo Zhang 0001, Hong Shen 0001, Haibin Kan |
Reliability-Latency Tradeoffs for Data Gathering in Random-Access Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GCC ![In: Grid and Cooperative Computing - GCC 2005, 4th International Conference, Beijing, China, November 30 - December 3, 2005, Proceedings, pp. 701-712, 2005, Springer, 3-540-30510-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
26 | Le Yan, Lin Zhong 0001, Niraj K. Jha |
User-perceived latency driven voltage scaling for interactive applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 624-627, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
adaptive body biasing, computer responsiveness, dynamic voltage scaling, power consumption |
26 | Srikant Sharma, Ningning Zhu, Tzi-cker Chiueh |
Low-latency mobile IP handoff for infrastructure-mode wireless LANs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Commun. ![In: IEEE J. Sel. Areas Commun. 22(4), pp. 643-652, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
26 | José Manuel Colmenar, Oscar Garnica, Sonia López, José Ignacio Hidalgo, Juan Lanchares, Román Hermida |
Empirical Characterization of the Latency of Long Asynchronous Pipelines with Data-Dependent Module Delays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 12th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2004), 11-13 February 2004, A Coruna, Spain, pp. 112-119, 2004, IEEE Computer Society, 0-7695-2083-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
26 | Robert Hsieh, Aruna Seneviratne |
A comparison of mechanisms for improving mobile IP handoff latency for end-to-end TCP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiCom ![In: Proceedings of the Ninth Annual International Conference on Mobile Computing and Networking, MOBICOM 2003, 2003, San Diego, CA, USA, September 14-19, 2003, pp. 29-41, 2003, ACM, 1-58113-753-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
26 | José Flich, Manuel P. Malumbres, Pedro López 0001, José Duato |
Removing the Latency Overhead of the ITB Mechanism in COWs with Source Routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2002), 9-11 January 2002, Canary Islands, Spain, pp. 463-470, 2002, IEEE Computer Society, 0-7695-1444-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
In-Transit Buffers, Interconnection networks, Clusters of Workstations, Myrinet, NOWs |
26 | Ravi Bhargava, Lizy Kurian John |
Latency and energy aware value prediction for high-frequency processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 16th international conference on Supercomputing, ICS 2002, New York City, NY, USA, June 22-26, 2002, pp. 45-56, 2002, ACM, 1-58113-483-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
complexity-effective design, trace cache processors, low power, data speculation |
26 | Luca P. Carloni, Kenneth L. McMillan, Alberto L. Sangiovanni-Vincentelli |
Theory of latency-insensitive design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(9), pp. 1059-1076, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Enric Morancho, José María Llabería, Àngel Olivé |
Recovery Mechanism for Latency Misprediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 8-12 September 2001, Barcelona, Spain, pp. 118-128, 2001, IEEE Computer Society, 0-7695-1363-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Seon Ho Kim |
Bulk Prefetching With Deadline-Driven Scheduling To Minimize Startup Latency Of Continuous Media Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2001 IEEE International Conference on Multimedia and Expo, ICME 2001, August 22-25, 2001, Tokyo, Japan, 2001, IEEE Computer Society, 0-7695-1198-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Marc Olano, Jonathan D. Cohen 0001, Mark R. Mine, Gary Bishop |
Combatting Rendering Latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SI3D ![In: Proceedings of the 1995 Symposium on Interactive 3D Graphics, SI3D '95, Monterey, CA, USA, April 9-12, 1995, pp. 19-24, 204, 1995, ACM, 0-89791-736-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
26 | Kazuhisa Makino, Toshihide Ibaraki |
The Maximum Latency and Identification of Positive Boolean Functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISAAC ![In: Algorithms and Computation, 5th International Symposium, ISAAC '94, Beijing, P. R. China, August 25-27, 1994, Proceedings, pp. 324-332, 1994, Springer, 3-540-58325-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
26 | Peter Steenkiste |
Analyzing Communication Latency Using the Nectar Communication Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCOMM ![In: Proceedings of the Conference on Communications Architecture & Protocols, SIGCOMM 1992, Baltimore, Maryland, USA, August 17-20, 1992, pp. 199-209, 1992, ACM, 0-89791-525-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
SPARC |
26 | Venugopalan Ramasubramanian, Dahlia Malkhi, Fabian Kuhn, Mahesh Balakrishnan 0001, Archit Gupta, Aditya Akella |
On the treeness of internet latency and bandwidth. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS/Performance ![In: Proceedings of the Eleventh International Joint Conference on Measurement and Modeling of Computer Systems, SIGMETRICS/Performance 2009, Seattle, WA, USA, June 15-19, 2009, pp. 61-72, 2009, ACM, 978-1-60558-511-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
sequoia, latency, bandwidth, internet topology, tree embedding |
26 | Jiakang Lu, Kamin Whitehouse |
Exploiting the capture effect for low-latency flooding in wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 6th International Conference on Embedded Networked Sensor Systems, SenSys 2008, Raleigh, NC, USA, November 5-7, 2008, pp. 409-410, 2008, ACM, 978-1-59593-990-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
wireless sensor networks, latency, flooding, capture effect |
26 | Mario R. Casu, Luca Macchiarulo |
Adaptive Latency-Insensitive Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 24(5), pp. 442-452, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
latency-insensitive protocols, interconnections, ICs, wire pipelining |
26 | Nicholas Hopper, Eugene Y. Vasserman, Eric Chan-Tin |
How much anonymity does network latency leak? ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: Proceedings of the 2007 ACM Conference on Computer and Communications Security, CCS 2007, Alexandria, Virginia, USA, October 28-31, 2007, pp. 82-91, 2007, ACM, 978-1-59593-703-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
anonymity, latency |
26 | Jian Ruan, Zhiying Wang 0003, Kui Dai, Yong Li 0006 |
Latency Estimation of the Asynchronous Pipeline Using the Max-Plus Algebra. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (4) ![In: Computational Science - ICCS 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part IV, pp. 251-258, 2007, Springer, 978-3-540-72589-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Asynchronous Pipeline, Timed Event Graph, Evolution Equation, Latency Estimation, Max-Plus Algebra |
26 | Wladek Olesinski, Nils Gura, Hans Eberle, Andres Mejia |
Low-latency scheduling in large switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANCS ![In: Proceedings of the 2007 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, ANCS 2007, Orlando, Florida, USA, December 3-4, 2007, pp. 87-96, 2007, ACM, 978-1-59593-945-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
scheduling, switching, low latency, arbiter |
26 | Syed Suhaib, Deepak Mathaikutty, David Berner, Sandeep K. Shukla |
Validating Families of Latency Insensitive Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(11), pp. 1391-1401, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
long interconnects, latency insensitive protocols, splitter, verification framework, Simulation, formal verification, merger, relay station |
26 | Eun-sook Lee, Kyu-seob Cho, Sung Kim |
A Point-to-Point Protocol Improvement to Reduce Data Call Setup Latency in Cdma2000 System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Networking ![In: NETWORKING 2006 - Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems, 5th International IFIP-TC6 Networking Conference, Coimbra, Portugal, May 15-19, 2006, Proceedings, pp. 966-977, 2006, Springer, 3-540-34192-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Simplified PPP, Call setup latency, Cdma2000 system, Wireless packet data service |
26 | JaeSub Kim, Keuntae Park, Jeong-Hun Shin, Daeyeon Park |
Look-ahead scheduling for energy-efficiency and low-latency in wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PE-WASUN ![In: Proceedings of the 3rd ACM International Workshop on Performance Evaluation of Wireless Ad Hoc, Sensor, and Ubiquitous Networks, PE-WASUN 2006, Torremolinos, Spain, October 6, 2006, pp. 141-144, 2006, ACM, 1-59593-487-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
wireless sensor networks, energy efficiency, MAC, low latency |
26 | Harsha V. Madhyastha, Thomas E. Anderson, Arvind Krishnamurthy, Neil Spring, Arun Venkataramani |
A structural approach to latency prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Internet Measurement Conference ![In: Proceedings of the 6th ACM SIGCOMM Internet Measurement Conference, IMC 2006, Rio de Janeriro, Brazil, October 25-27, 2006, pp. 99-104, 2006, ACM, 1-59593-561-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
latency prediction, route measurements, internet topology |
26 | Satoko Itaya, Masakatsu Kosuga, Peter Davis |
Evaluation of Packet Latency and Fluctuation during UDP Packet Exchange in Ad Hoc Wireless Groups. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 24th International Conference on Distributed Computing Systems Workshops (ICDCS 2004 Workshops), 23-24 March 2004, Hachioji, Tokyo, Japan, pp. 684-689, 2004, IEEE Computer Society, 0-7695-2087-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
real-time, IEEE 802.11, latency, ad hoc, fluctuation |
26 | Hyun-Wook Jin, Chuck Yoo, Jin-Young Choi |
Firmware-Level Latency Analysis on a Gigabit Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 26(1), pp. 59-75, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
latency analysis, gigabit network, Asynchronous UDP, cluster, Myrinet, firmware |
26 | Soontae Kim, Narayanan Vijaykrishnan, Mary Jane Irwin, Lizy Kurian John |
On load latency in low-power caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 258-261, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
load latency, low-power, caches |
26 | P. Krishna Gummadi, Stefan Saroiu, Steven D. Gribble |
King: estimating latency between arbitrary internet end hosts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Internet Measurement Workshop ![In: Proceedings of the 2nd ACM SIGCOMM Internet Measurement Workshop, IMW 2002, Marseille, France, November 6-8, 2002, pp. 5-18, 2002, ACM, 1-58113-603-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
latency measurement tool, recursive DNS |
26 | Satish Ganesan, Ranga Vemuri |
An Integrated Temporal Partitioning and Partial Reconfiguration Technique for Design Latency Improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2000 Design, Automation and Test in Europe (DATE 2000), 27-30 March 2000, Paris, France, pp. 320-325, 2000, IEEE Computer Society / ACM, 0-7695-0537-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Reconfiguration Overhead, Latency Minimization, Partial Reconfiguration, Speed-up, Temporal Partitioning |
26 | Yong Yan 0003, Xiaodong Zhang 0001, Qian Ma |
Software Support for Multiprocessor Latency Measurement and Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 23(1), pp. 4-16, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Latency analysis, parallel computing scalability, performance graphical presentation, KSR multiprocessors, software tools |
26 | Shuvra S. Bhattacharyya, Sundararajan Sriram, Edward A. Lee |
Latency-constrained Resynchronization for Multiprocessor DSP Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1996 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '96), August 19-23, 1996, Chicago, IL , USA, pp. 365-380, 1996, IEEE Computer Society, 0-8186-7542-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
static multi-processor schedules, iterative dataflow programs, self-timed execution, latency, synchronization overhead |
25 | Po-An Chen, David Kempe 0001 |
Altruism, selfishness, and spite in traffic routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EC ![In: Proceedings 9th ACM Conference on Electronic Commerce (EC-2008), Chicago, IL, USA, June 8-12, 2008, pp. 140-149, 2008, ACM, 978-1-60558-169-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
anarchy, spite, routing, selfishness, altruism |
25 | Seonggeun Ryu, Youngsong Mun |
A Scheme to Enhance TEBU Scheme of Fast Handovers for Mobile IPv6. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICESS ![In: Embedded Software and Systems, [Third] International Conference, ICESS 2007, Daegu, Korea, May 14-16, 2007, Proceedings, pp. 773-782, 2007, Springer, 978-3-540-72684-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Simon Fischer 0001, Harald Räcke, Berthold Vöcking |
Fast convergence to Wardrop equilibria by adaptive sampling methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: Proceedings of the 38th Annual ACM Symposium on Theory of Computing, Seattle, WA, USA, May 21-23, 2006, pp. 653-662, 2006, ACM, 1-59593-134-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Wardrop equilibria, adaptive routing, convergence time |
25 | Lisa Fleischer, Kamal Jain, Mohammad Mahdian |
Tolls for Heterogeneous Selfish Users in Multicommodity Networks and Generalized Congestion Games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FOCS ![In: 45th Symposium on Foundations of Computer Science (FOCS 2004), 17-19 October 2004, Rome, Italy, Proceedings, pp. 277-285, 2004, IEEE Computer Society, 0-7695-2228-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Grenville J. Armitage, Lawrence Stewart |
Limitations of using real-world, public servers to estimate jitter tolerance of first person shooter games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advances in Computer Entertainment Technology ![In: Proceedings of the 2004 ACM SIGCHI International Conference on Advances in Computer Entertainment Technology, 2004, Singapore, June 3-5, 2004, pp. 257-262, 2004, ACM, 1-58113-882-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Quake III arena, jitter, network games, first person shooter, internet service provider |
25 | Mark C. Johnson, Kaushik Roy 0001 |
Optimal Selection of Supply Voltages and Level Conversions During Data Path Scheduling Under Resource Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings, pp. 72-77, 1996, IEEE Computer Society, 0-8186-7554-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
level conversion, voltage selection, scheduling, low-power, synthesis, DSP, ILP, resource constraints, datapath |
25 | Wei Yang 0037, Weifa Liang, Jun Luo, Wenhua Dou |
Energy-aware online routing with QoS constraints in multi-rate wireless ad hoc networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the 6th International Wireless Communications and Mobile Computing Conference, IWCMC 2010, Caen, France, June 28 - July 2, 2010, pp. 721-725, 2010, ACM, 978-1-4503-0062-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
energy-latency tradeoff, multi-rate ad hoc networks, network lifetime, QoS routing, energy optimization |
25 | Sharon Shitrit, Eyal Felstaine, Niv Gilboa, Ofer Hermoni |
Anonymity Scheme for Interactive P2P Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 8th IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2008), 19-22 May 2008, Lyon, France, pp. 33-40, 2008, IEEE Computer Society, 978-0-7695-3156-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Anonymity, Distributed Hash Table (DHT), Peer-to-Peer (P2P), Low-latency, Interactive Services |
25 | Jianjin Jiang, Guangwen Yang |
An optimal replication strategy for data grid systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Frontiers Comput. Sci. China ![In: Frontiers Comput. Sci. China 1(3), pp. 338-348, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
replication strategy, optimized distribution, data grid, access latency |
25 | Jaeheon Jeong, Michel Dubois 0001 |
Cache Replacement Algorithms with Nonuniform Miss Costs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(4), pp. 353-365, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Cache, power, latency, trace-driven simulations, memory system, replacement policy |
25 | Kyungtae Kang, Yongwoo Cho 0001, Hosang Park, Heonshik Shin |
Adaptive Error Recovery in cdma2000 1xEV-DO Mobile Broadcast Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2006, Seoul, Korea, August 1-4, 2006, Proceedings, pp. 1119-1128, 2006, Springer, 3-540-36679-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
cdma2000 1xEV-DO BCMCS, Adaptive error-recovery, error control block, Reed-Solomon, service latency |
25 | Angie Chandler, Joe Finney |
On the effects of loose causal consistency in mobile multiplayer games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NETGAMES ![In: Proceedings of the 4th Workshop on Network and System Support for Games, NETGAMES 2005, Hawthorne, New York, USA, October 10-11, 2005, pp. 1-11, 2005, ACM, 1-59593-156-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
relevance filtering, collaborative, mobile, peer to peer, real-time, gaming, wireless, latency, consistency management, multiplayer |
25 | Jih-Fu Tu |
Cache Management for Discrete Processor Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, Third International Symposium, ISPA 2005, Nanjing, China, November 2-5, 2005, Proceedings, pp. 205-215, 2005, Springer, 3-540-29769-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Discrete processor architectures, write-invalidate (WI) and cache block, multithreading, cache coherency, shared cache, memory latency |
25 | Brian Babcock, Shivnath Babu, Mayur Datar, Rajeev Motwani 0001, Dilys Thomas |
Operator scheduling in data stream systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 13(4), pp. 333-353, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Scheduling, Data streams, Memory management, Latency |
25 | Sangman Moh, Chansu Yu, Ben Lee, Hee Yong Youn, Dongsoo Han, Dongman Lee |
Four-Ary Tree-Based Barrier Synchronization for 2D Meshes without Nonmember Involvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(8), pp. 811-823, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
hardware-supported barriers, MPI, wormhole routing, Barrier synchronization, communication latency |
25 | Hyong-Shik Kim, Soonhoi Ha, Chu Shik Jhon |
Quantitative Analysis on Caching Effect of I-Structure Data in Frame-Based Multithreaded Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 1997 International Conference on Parallel Processing (ICPP '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings, pp. 122-127, 1997, IEEE Computer Society, 0-8186-8108-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Frame-based multithreaded processing, I-structure cache, frame parallelism, latency, quantitative analysis |
25 | Ioannis Voyiatzis, Dimitris Nikolos, Antonis M. Paschalis, Constantinos Halatsis, Th. Haniotakis |
An efficient comparative concurrent Built-In Self-Test technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 4th Asian Test Symposium (ATS '95), November 23-24, 1995. Bangalore, India, pp. 309-315, 1995, IEEE Computer Society, 0-8186-7129-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
off-line test generation, comparative concurrent BIST, test latency, windowed-CBIST, VLSI, logic testing, built-in self test, integrated circuit testing, concurrent engineering, VLSI circuits, test sequence, hardware overhead |
25 | Anant Agarwal |
Limits on Interconnection Network Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 2(4), pp. 398-412, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
buffered networks, interconnection network performance, wiredelays, two-dimensionalnetwork, switch delays, four-dimensional networks, networkbandwidth requirements, multiprocessor interconnection networks, latency, direct networks, network contention, performanceevaluation, closed-form expression, packet size, communication locality |
25 | Jordi Cortadella, Michael Kishinevsky, Bill Grundmann |
Synthesis of synchronous elastic architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 657-662, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
protocols, synthesis, latency-tolerance, latency-insensitive design |
25 | K. H. Kim, Chittur Subbaraman |
Dynamic Configuration Management in Reliable Distributed Real-Time Information Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 11(1), pp. 239-254, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
information service systems, fault detection latency, latency bound, real time, distributed computing, Object, configuration management, TMO, time-triggered, supervisor, message-triggered, point-to-point networks, network surveillance |
25 | Kwan-Po Wong, Cho-Li Wang |
Push-Pull Messaging: A High-Performance Communication Mechanism for Commodity SMP Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the International Conference on Parallel Processing 1999, ICPP 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 12-21, 1999, IEEE Computer Society, 0-7695-0350-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Push-Pull Messaging, Latency Hiding, Cluster of SMPs, Low-Latency Communication |
23 | Kwonjong Lee, Joonki Kim, Yosub Park, Hanho Wang, Daesik Hong |
Latency of Cellular-Based V2X: Perspectives on TTI-Proportional Latency and TTI-Independent Latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 5, pp. 15800-15809, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Tai-Lin Chin, Parameswaran Ramanathan, Kewal K. Saluja |
Modeling Detection Latency with Collaborative Mobile Sensing Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 58(5), pp. 692-705, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Andreas Göb, Daniel Schreiber, Louenas Hamdi, Erwin Aitenbichler, Max Mühlhäuser |
Reducing User Perceived Latency with a Middleware for Mobile SOA Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: IEEE International Conference on Web Services, ICWS 2009, Los Angeles, CA, USA, 6-10 July 2009, pp. 366-373, 2009, IEEE Computer Society, 978-0-7695-3709-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Robert J. Teather, Andriy Pavlovych, Wolfgang Stürzlinger |
Effects of Latency and Spatial Jitter on 2D and 3D Pointing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VR ![In: IEEE Virtual Reality Conference 2009 (VR 2009), 14-18 March 2009, Lafayette, Louisiana, USA, Proceedings, pp. 229-230, 2009, IEEE Computer Society, 978-1-4244-3943-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Zhenning Kong, Edmund M. Yeh |
On the latency for information dissemination in mobile wireless networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiHoc ![In: Proceedings of the 9th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2008, Hong Kong, China, May 26-30, 2008, pp. 139-148, 2008, ACM, 978-1-60558-073-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
first passage percolation, subadditive ergodic theorem, mobility, information dissemination |
22 | Haiyang Qian, Steve Dispensa, Deep Medhi |
Optimizing request denial and latency in an agent-based VPN architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOMS ![In: IEEE/IFIP Network Operations and Management Symposium: Pervasive Management for Ubioquitous Networks and Services, NOMS 2008, 7-11 April 2008, Salvador, Bahia, Brazil, pp. 248-255, 2008, IEEE, 978-1-4244-2066-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Hongliang Gao, Yi Ma, Martin Dimitrov, Huiyang Zhou |
Address-branch correlation: A novel locality for long-latency hard-to-predict branches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 16-20 February 2008, Salt Lake City, UT, USA, pp. 74-85, 2008, IEEE Computer Society, 978-1-4244-2070-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Seung Sik Choi |
Analysis of Low Latency MAC Protocols for Clustered Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCNC ![In: WCNC 2008, IEEE Wireless Communications & Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings, pp. 1894-1898, 2008, IEEE, 978-1-4244-1997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Ryo Sugihara, Rajesh K. Gupta 0001 |
Improving the Data Delivery Latency in Sensor Networks with Controlled Mobility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DCOSS ![In: Distributed Computing in Sensor Systems, 4th IEEE International Conference, DCOSS 2008, Santorini Island, Greece, June 11-14, 2008, Proceedings, pp. 386-399, 2008, Springer, 978-3-540-69169-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Satyanarayana Nekkalapu, Haitham Akkary, Komal Jothi, Renjith Retnamma, Xiaoyu Song |
A simple latency tolerant processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 26th International Conference on Computer Design, ICCD 2008, 12-15 October 2008, Lake Tahoe, CA, USA, Proceedings, pp. 384-389, 2008, IEEE Computer Society, 978-1-4244-2657-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Parvathinathan Venkitasubramaniam, Lang Tong |
Anonymous Networking with Minimum Latency in Multihop Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SP ![In: 2008 IEEE Symposium on Security and Privacy (SP 2008), 18-21 May 2008, Oakland, California, USA, pp. 18-32, 2008, IEEE Computer Society, 978-0-7695-3168-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Network Security, Anonymity, Traffic Analysis, Rate-Distortion |
22 | Chen Tian 0001, Hongbo Jiang 0001, Xue Liu, Wenyu Liu 0001, Yi Wang 0049 |
Towards Minimum Traffic Cost and Minimum Response Latency: A Novel Dynamic Query Protocol in Unstructured P2P Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2008 International Conference on Parallel Processing, ICPP 2008, September 8-12, 2008, Portland, Oregon, USA, pp. 1-8, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Nagavijayalakshmi Vydyanathan, Ümit V. Çatalyürek, Tahsin M. Kurç, P. Sadayappan, Joel H. Saltz |
A Duplication Based Algorithm for Optimizing Latency Under Throughput Constraints for Streaming Workflows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2008 International Conference on Parallel Processing, ICPP 2008, September 8-12, 2008, Portland, Oregon, USA, pp. 254-261, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Yong Chen 0001, Surendra Byna, Xian-He Sun, Rajeev Thakur, William Gropp |
Hiding I/O latency with pre-execution prefetching for parallel applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2008, November 15-21, 2008, Austin, Texas, USA, pp. 40, 2008, IEEE/ACM, 978-1-4244-2835-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Pedro Morillo 0001, Silvia Rueda, Juan M. Orduña, José Duato |
A Latency-Aware Partitioning Method for Distributed Virtual Environment Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(9), pp. 1215-1226, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Distributed/network, Distributed Applications, graphics |
22 | Xiaofeng Guo, Jinquan Dai, Long Li, Zhiyuan Lv, Prashant R. Chandra |
Latency Hiding in Multi-Threading and Multi-Processing of Network Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 270-279, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Timothy Bisson, Scott A. Brandt |
Reducing Hybrid Disk Write Latency with Flash-Backed I/O Requests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 15th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2007), October 24-26, 2007, Istanbul, Turkey, pp. 402-409, 2007, IEEE Computer Society, 978-1-4244-1854-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Deepti Chafekar, V. S. Anil Kumar 0001, Madhav V. Marathe, Srinivasan Parthasarathy 0002, Aravind Srinivasan |
Cross-layer latency minimization in wireless networks with SINR constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiHoc ![In: Proceedings of the 8th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2007, Montreal, Quebec, Canada, September 9-14, 2007, pp. 110-119, 2007, ACM, 978-1-59593-684-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
SINR model, end-to-end scheduling, wireless networks, interference, cross-layer design |
22 | Shankar M. Banik, Sridhar Radhakrishnan |
Minimizing broadcast latency in ad hoc wireless networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 45th Annual Southeast Regional Conference, 2007, Winston-Salem, North Carolina, USA, March 23-24, 2007, pp. 533-534, 2007, ACM, 978-1-59593-629-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
minimum connected dominating set, scheduling, broadcasting |
22 | Nagavijayalakshmi Vydyanathan, Ümit V. Çatalyürek, Tahsin M. Kurç, P. Sadayappan, Joel H. Saltz |
Toward Optimizing Latency Under Throughput Constraints for Application Workflows on Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2007, Parallel Processing, 13th International Euro-Par Conference, Rennes, France, August 28-31, 2007, Proceedings, pp. 173-183, 2007, Springer, 978-3-540-74465-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Chun Hsia, Chunhung Richard Lin |
Low-Latency Mobile IP Handover Based on Active-Scan Link Layer Assisted FMIPv6. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-Hoc and Sensor Networks, Third International Conference, MSN 2007, Beijing, China, December 12-14, 2007, Proceedings, pp. 165-173, 2007, Springer, 978-3-540-77023-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
Displaying result #101 - #200 of 17347 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|