The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for parasitics with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1992 (15) 1993-1997 (16) 1998-2000 (25) 2001-2002 (16) 2003 (16) 2004 (18) 2005 (23) 2006 (24) 2007 (22) 2008-2009 (20) 2010-2014 (15) 2015-2018 (19) 2019-2022 (20) 2023-2024 (3)
Publication types (Num. hits)
article(64) inproceedings(185) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 128 occurrences of 97 keywords

Results
Found 252 publication records. Showing 252 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Lih-Yih Chiou, Shien-Chun Luo Energy-Efficient Dual-Edge-Triggered Level Converting Flip Flops With Symmetry in Setup Times and Insensitivity to Output Parasitics. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Alireza Khaligh Realization of Parasitics in Stability of DC-DC Converters Loaded by Constant Power Loads in Advanced Multiconverter Automotive Systems. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Wenjian Yu, Xiren Wang, Zuochang Ye, Zeyi Wang Efficient Extraction of Frequency-Dependent Substrate Parasitics Using Direct Boundary Element Method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24I-Lun Tseng Estimation of Analog Layout Parasitics with Parameterized Polygons Search on Bibsonomy 2008   RDF
24Shuo Wang, Jacobus Daniel van Wyk, Fred C. Lee Effects of Interactions Between Filter Parasitics and Power Interconnects on EMI Filter Performance. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Yassine Hariri, Claude Thibeault Bridging fault diagnostic tool based on DIDDQ probabilistic signatures, circuit layout parasitics and logic errors. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Ali Davoudi, Juri Jatskevich Parasitics Realization in State-Space Average-Value Modeling of PWM DC-DC Converters Using an Equal Area Method. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Yaser M. A. Khalifa, Badar K. Khan, Faisal Taha Multi-objective optimization tool for a free structure analog circuits design using genetic algorithms and incorporating parasitics. Search on Bibsonomy GECCO (Companion) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF genetic algorithms, optimization, analog circuits
24Zuochang Ye, Zhiping Yu Parasitics extraction involving 3-D conductors based on multi-layered Green's function. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Guchuan Zhu, Julien Penet, Lahcen Saydy Robust control of an electrostatically actuated MEMS in the presence of parasitics and parametric uncertainties. Search on Bibsonomy ACC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24D. H. S. Maithripala, Balasaheb D. Kawade, Jordan M. Berg, Wijesuriya P. Dayawansa Passivity-Based Control of Electrostatic MEMS in the Presence of Parasitics. Search on Bibsonomy CDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Frank Felgenhauer, M. Begoin, Wolfgang Mathis Q-parasitics and their influence to the behaviour of nanoscaled CMOS circuits. Search on Bibsonomy ECCTD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Gabriella Trucco, Giorgio Boselli, Valentino Liberali A Study of Crosstalk Through Bonding and Package Parasitics in CMOS Mixed Analog-Digital Circuits. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24M. S. B. Sowariraj, Theo Smedes, Cora Salm, Ton J. Mouthaan, Fred G. Kuper Role of package parasitics and substrate resistance on the Charged Device Model (CDM) failure levels -An explanation and die protection strategy. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Thomas P. Warwick Mitigating the Effects of The DUT Interface board and Test System Parasitics in Gigabit-Plus Measurements. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Veit Dominik Kunz CMOS compatible vertical surround gate mosfets with reduced parasitics. Search on Bibsonomy 2003   RDF
24Andrea Pacelli A local circuit topology for inductive parasitics. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Peter Feldmann, Sharad Kapur, David E. Long Efficient Techniques for Modeling Chip-Level Interconnect, Substrate and Package Parasitics. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Edward W. Y. Liu, Henry C. Chang, Alberto L. Sangiovanni-Vincentelli Analog System Verification in the Presence of Parasitics Using Behavioral Simulation. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24David L. Standley, John L. Wyatt Jr. Stability theorem for lateral inhibition networks that is robust in the presence of circuit parasitics. Search on Bibsonomy ICNN The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
24David Overhauser, Ibrahim N. Hajj A tabular macromodeling approach to fast timing simulation including parasitics. Search on Bibsonomy ICCAD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
24John L. Wyatt Jr., David L. Standley A Method for the Design of Stable Lateral Inhibition Networks that is Robust in the Presence of Circuit Parasitics. Search on Bibsonomy NIPS The full citation details ... 1987 DBLP  BibTeX  RDF
24Shun-Lin Su Extraction of MOS VLSI Circuit Models Including Critical Interconnect Parasitics Search on Bibsonomy 1987   RDF
24Petros A. Ioannou, C. Richard Johnson Jr. Reduced-order performance of parallel and series-parallel identifiers with weakly observable parasitics. Search on Bibsonomy Autom. The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
24Kar-Keung D. Young, Petar V. Kokotovic Analysis of feedback-loop interactions with actuator and sensor parasitics. Search on Bibsonomy Autom. The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
12Pooya Jannaty, Florian C. Sabou, R. Iris Bahar, Joseph L. Mundy, William R. Patterson, Alexander Zaslavsky Numerical queue solution of thermal noise-induced soft errors in subthreshold CMOS devices. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS logic devices, reliability, Markov process, monte carlo method, poisson distribution, laplace transform
12Cheng-Wu Lin, Jai-Ming Lin, Chun-Po Huang, Soon-Jyh Chang Performance-driven analog placement considering boundary constraint. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog placement, boundary constraint, symmetry
12Zhiyu Zeng, Xiaoji Ye, Zhuo Feng, Peng Li 0001 Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low-dropout regulator, on-chip voltage regulation, power delivery network, power efficiency
12Yong Zhang 0049, Peng Li 0001, Garng M. Huang Separatrices in high-dimensional state space: system-theoretical tangent computation and application to SRAM dynamic stability analysis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF separatrix, SRAM, dynamic stability
12Kaustav Banerjee, Yasin Khatami, Chaitanya Kshirsagar, Seid Hadi Rasouli Graphene based transistors: physics, status and future perspectives. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cnfet, gnr-fet., graphene, carbon nanotubes
12Shan Zeng, Wenjian Yu, Wanping Zhang, Jian Wang, Xianlong Hong, Chung-Kuan Cheng Efficient power network analysis with complete inductive modeling. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Jawar Singh, Jimson Mathew, Saraju P. Mohanty, Dhiraj K. Pradhan Single Ended Static Random Access Memory for Low-Vdd, High-Speed Embedded Systems. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Almitra Pradhan, Ranga Vemuri Efficient Synthesis of a Uniformly Spread Layout Aware Pareto Surface for Analog Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Rafael Castro-López, Oscar Guerra, Elisenda Roca, Francisco V. Fernández 0001 An Integrated Layout-Synthesis Approach for Analog ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Renato Rimolo-Donadio, Christian Schuster, Xiaoxiong Gu, Young Hoon Kwark, Mark B. Ritter Analysis and Optimization of the Recessed Probe Launch for High Frequency Measurements of PCB Interconnects. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Tarek A. El-Moselhy, Ibrahim M. Elfadel, Luca Daniel A capacitance solver for incremental variation-aware extraction. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Ranko Sredojevic, Vladimir Stojanovic Optimization-based framework for simultaneous circuit-and-system design-space exploration: a high-speed link example. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Basel Halak, Santosh Shedabale, Hiran Ramakrishnan, Alexandre Yakovlev, Gordon Russell 0002 The impact of variability on the reliability of long on-chip interconnect in the presence of crosstalk. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cross-talk, interconnect, variability, Bit Error Rate(BER)
12Hariharan Sankaran, Srinivas Katkoori Bus Binding, Re-ordering, and Encoding for Crosstalk-Producing Switching Activity Minimization during High Level Synthesis. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulated Annealing, HLS, Encoding, Crosstalk, Binding, Reordering
12Ruxandra-Liana Costea, Corneliu A. Marinov Time Evaluation for WTA Hopfield Type Circuits Affected by Cross-Coupling Capacitances. Search on Bibsonomy ICONIP (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Stephane Bronckers, Charlotte Soens, Geert Van der Plas, Gerd Vandersteen, Yves Rolain Interactive presentation: Simulation methodology and experimental verification for the analysis of substrate noise on LC-VCO's. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Ehsan Pakbaznia, Farzan Fallah, Massoud Pedram Sizing and placement of charge recycling transistors in MTCMOS circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Asha Balijepalli, Saurabh Sinha, Yu Cao Compact modeling of carbon nanotube transistor for early stage process-design exploration. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimum delay, schottky-barrier, surface potential, modeling, process variability, CNT
12Mohit Pathak, Souvik Mukherjee, Madhavan Swaminathan, Ege Engin, Sung Kyu Lim Placement and routing of RF embedded passive designs in LCP substrate. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Andreas G. Katsiamis, Henry M. D. Ip, Emmanuel M. Drakakis A Practical CMOS Companding Sinh Lossy Integrator. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Massimo Alioto, Gaetano Palumbo High-Speed/Low-Power Mixed Full Adder Chains: Analysis and Comparison versus Technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Hamid Nejati, Tamer Ragheb, Arthur Nieuwoudt, Yehia Massoud Modeling and Design of Ultrawideband Low Noise Amplifiers with Generalized Impedance Matching Networks. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jere A. M. Järvinen, Mikko Saukoski, Kari Halonen A 12-bit Ratio-Independent Algorithmic ADC for a Capacitive Sensor Interface. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Chanseok Hwang, Peng Rong, Massoud Pedram Sleep transistor distribution in row-based MTCMOS designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage minimization, placement, MTCMOS
12Subramanian Rajagopalan, Shabbir H. Batterywala A 3-dimensional FEM Based Resistance Extraction. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Debjit Sinha, Jianfeng Luo, Subramanian Rajagopalan, Shabbir H. Batterywala, Narendra V. Shenoy, Hai Zhou 0001 Impact of Modern Process Technologies on the Electrical Parameters of Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Huiying Yang, Ranga Vemuri Efficient Symbolic Sensitivity based Parasitic-Inclusive Optimization in Layout Aware Analog Circuit Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Mark M. Budnik, Kaushik Roy 0001 A Power Delivery and Decoupling Network Minimizing Ohmic Loss and Supply Voltage Variation in Silicon Nanoscale Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Brock J. LaMeres, Sunil P. Khatri Bus stuttering: an encoding technique to reduce inductive noise in off-chip data transmission. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Mark M. Budnik, Kaushik Roy 0001 Minimizing ohmic loss and supply voltage variation using a novel distributed power supply network. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Daniele Bonomi, Giorgio Boselli, Gabriella Trucco, Valentino Liberali Effects of digital switching noise on analog voltage references in mixed-signal CMOS ICs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk, mixed-signal ICs
12Shweta Shah, Nazanin Mansouri, Adrián Núñez-Aldana Pre-Layout Estimation of Interconnect Lengths for Digital Integrated Circuits. Search on Bibsonomy CONIELECOMP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ali Davoudi, Juri Jatskevich State-space averaging of switched-inductor-cell for PWM dc-dc converters considering conduction losses in both operational modes. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Bo-Shih Huang, Ming-Dou Ker New matching methodology of low-noise amplifier with ESD protection. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Cameron T. Charles, David J. Allstot A 2-GHz integrated CMOS reflective-type phase shifter with 675° control range. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12R. Jancke, P. Schwarz Supporting analog synthesis by abstracting circuit behavior using a modeling methodology. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Massimo Alioto, Gaetano Palumbo Nanometer MCML gates: models and design considerations. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Shu-Hui Tu, J. Neil Ross, Chun-Ming Chang Analytical synthesis of current-mode even-Nth-order single-ended-input OTA and equal-capacitor elliptic filter structure with the minimum components. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Tino Heijmen, Damien Giot, Philippe Roche Factors That Impact the Critical Charge of Memory Elements. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Tamer Ragheb, Arthur Nieuwoudt, Yehia Massoud Efficient modeling of integrated narrow-band low noise amplifiers for design space exploration. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF narrow-band, low noise amplifier, noise figure
12Jindrich Zejda, Li Ding 0002 TBNM - Transistor-Level Boundary Model for Fast Gate-Level Noise Analysis of Macro Blocks. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Benjamin Sheahan, John W. Fattaruso, Jennifer Wong, Karlheinz Muth, Boris Murmann 4.25 Gb/s laser driver: design challenges and EDA tool limitations. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF electrical to optical interface, laser diode, laser driver
12Bikram Baidya, Tamal Mukherjee Layout verification for mixed-domain integrated MEMS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Miodrag Vujkovic, David Wadkins, Carl Sechen Efficient Post-layout Power-Delay Curve Generation. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Abhishek Somani, Partha Pratim Chakrabarti, Amit Patra Mixing Global and Local Competition in Genetic Optimization based Design Space Exploration of Analog Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Rong Jiang 0002, Charlie Chung-Ping Chen Comprehensive frequency dependent interconnect extraction and evaluation methodology. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ajoy Kumar Palit, Lei Wu, Kishore K. Duganapalli, Walter Anheier, Jürgen Schlöffel A New, Flexible and Very Accurate Crosstalk Fault Model to Analyze the Effects of Coupling Noise between the Interconnects on Signal Integrity Losses in Deep Submicron Chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF crosstalk model, aggressor-victim, ABCD-model, crosstalk-hazards, signal integrity
12Ali Keshavarzi, Gerhard Schrom, Stephen Tang, Sean Ma, Keith A. Bowman, Sunit Tyagi, Kevin Zhang 0001, Tom Linton, Nagib Hakim, Steven G. Duvall, John Brews, Vivek De Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Vt mismatch, Vt variation, random dopant variation, threshold voltage variation, transistor mismatch, transistor threshold voltage mismatch, process variation, CMOS, integrated circuits, variation, transistors, threshold voltage, mismatch, body bias, Vt
12Deepak S. Vijayasarathi, Mehrdad Nourani, Mohammad J. Akhbarizadeh, Poras T. Balsara Ripple-Precharge TCAM A Low-Power Solution for Network Search Engines. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12David W. Graham, Ethan Farquhar, Brian P. Degnan, Christal Gordon, Paul E. Hasler Indirect programming of floating-gate transistors. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Hui Zhang 0057, Preethi Karthik, Hua Tang, Alex Doboli An explorative tile-based technique for automated constraint transformation, placement and routing of high frequency analog filters. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Takahide Sato, Shigetaka Takagi, Nobuo Fujii, Yasuyuki Hashimoto, Kohji Sakata, Hiroyuki Okada Feedforward-type parasitic capacitance canceler and its application to 4 Gb/s T/H circuit. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Mohammad Hekmat, Shahriar Mirabbasi, Majid Hashemi Ground bounce calculation due to simultaneous switching in deep sub-micron integrated circuits. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Amitava Bhaduri, Ranga Vemuri Moment-driven coupling-aware routing methodology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF coupling-aware, routing, moments
12Andres Teene, Bob Davis, Ruggero Castagnetti, Jeff Brown, S. Ramesh 0004 Impact of Interconnect Process Variations on Memory Performance and Design. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Sani R. Nassif, Zhuo Li 0001 A More Effective CEFF. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ghanshyam Nayak, Clyde Washburn, P. R. Mukund System in a Package Design of a RF Front End System Using Application Specific Reduced Order Models. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ajoy Kumar Palit, Volker Meyer, Walter Anheier, Jürgen Schlöffel ABCD Modeling of Crosstalk Coupling Noise to Analyze the Signal Integrity Losses on the Victim Interconnect in DSM Chips. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Huiyun Li, A. Theodore Markettos, Simon W. Moore Security Evaluation Against Electromagnetic Analysis at Design Time. Search on Bibsonomy CHES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF EM side-channel analysis, design time security evaluation, smart card
12Carlos P. Coelho, Joel R. Phillips, Luís Miguel Silveira A convex programming approach for generating guaranteed passive approximations to tabulated frequency-data. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Jiri Haze, Radimir Vrba ADC Position-Sense Interface. Search on Bibsonomy ECBS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sotiris Bantas, Yorgos Koutsoyannopoulos, Apostolos Liapis An Inductance Modeling Flow Seamlessly Integrated in the RF IC Design Chain. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Robert A. Mullen RF design methodologies bridging system-IC-module design. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Tsung-Hao Chen, Jeng-Liang Tsai, Tanay Karnik HiSIM: hierarchical interconnect-centric circuit simulator. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Mohamed Elgebaly, Manoj Sachdev Efficient adaptive voltage scaling system through on-chip critical path emulation. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low-power, CMOS, adaptive voltage scaling
12Yinghua Li, Rajeev Murgai, Takashi Miyoshi, Ashwini Verma XTalkDelay: A Crosstalk-Aware Timing Analysis Tool for Chip-Level Designs. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Davide Pandini, Cristiano Forzan, Livio Baldi Design Methodologies and Architecture Solutions for High-Performance Interconnects. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ajoy Kumar Palit, Volker Meyer, Walter Anheier, Jürgen Schlöffel Modeling and Analysis of Crosstalk Coupling Effect on the Victim Interconnect Using the ABCD Network Model. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ji Luo 0003, Joseph B. Bernstein, J. Ari Tuchman, Hu Huang 0001, Kuan-Jung Chung, Anthony L. Wilson A High Performance Radiation-Hard Field Programmable Analog Array . Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sherif Hammouda, Mohamed Dessouky, Mohamed Tawfik, Wael M. Badawy A Fully Automated Approach for Analog Circuit Reuse. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Gang Zhang, E. Aykut Dengi, Ronald A. Rohrer, Rob A. Rutenbar, L. Richard Carley A synthesis flow toward fast parasitic closure for radio-frequency integrated circuits. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF modeling, synthesis, layout, sizing, parasitic, radio frequency
12Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Maximizing throughput over parallel wire structures in the deep submicrometer regime. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Payam Heydari, Massoud Pedram Ground bounce in digital VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Jérôme Lescot, François J. R. Clément Interconnect Parasitic Extraction Tool for Radio-Frequency Integrated Circuits. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 252 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license