The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipelines with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1987 (17) 1988-1990 (19) 1991-1993 (23) 1994-1995 (23) 1996-1997 (29) 1998 (20) 1999 (32) 2000 (28) 2001 (29) 2002 (52) 2003 (57) 2004 (74) 2005 (51) 2006 (84) 2007 (79) 2008 (84) 2009 (66) 2010 (41) 2011 (47) 2012 (40) 2013 (61) 2014 (61) 2015 (68) 2016 (75) 2017 (102) 2018 (99) 2019 (158) 2020 (163) 2021 (216) 2022 (213) 2023 (237) 2024 (55)
Publication types (Num. hits)
article(989) book(1) incollection(12) inproceedings(1355) phdthesis(45) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 804 occurrences of 589 keywords

Results
Found 2403 publication records. Showing 2403 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21David J. Duke, Rita Borgo, Colin Runciman, Malcolm Wallace Experience report: visualizing data through functional pipelines. Search on Bibsonomy ICFP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF visualization, evaluation, streaming, pipeline, Haskell
21Sibin Mohan, Frank Mueller 0001 Hybrid Timing Analysis of Modern Processor Pipelines via Hardware/Software Interactions. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hybrid timing anlalysis, hardware/software interactions, real-time systems, embedded systems, computer architecture, timing analysis, worst-case execution time, out-of-order execution
21Marija Kolundzija Security Types for Sessions and Pipelines. Search on Bibsonomy WS-FM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Montek Singh, Steven M. Nowick MOUSETRAP: High-Speed Transition-Signaling Asynchronous Pipelines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Praveen Jayachandran, Tarek F. Abdelzaher A Delay Composition Theorem for Real-Time Pipelines. Search on Bibsonomy ECRTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Tommaso Cucinotta, Luigi Palopoli 0002 Feedback Scheduling for Pipelines of Tasks. Search on Bibsonomy HSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Eric L. Hill, Mikko H. Lipasti Transparent mode flip-flops for collapsible pipelines. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21David J. Duke, Malcolm Wallace, Rita Borgo, Colin Runciman Fine-grained Visualization Pipelines and Lazy Functional Languages. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Pipeline model, functional programming, laziness
21Roma Kane, Panagiotis Manolios, Sudarshan K. Srinivasan Monolithic verification of deep pipelines with collapsed flushing. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Wei Zhang, Jing-Tao Guo, Songling Huang Application of Neural Network in Metal Loss Evaluation for Gas Conducting Pipelines. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Michael Attig, Gordon J. Brebner Systematic Characterization of Programmable Packet Processing Pipelines. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Trieu C. Chieu, Pawan Chowdhary, Shiwa S. Fu, Florian Pinel, Jih-Shyr Yih Enterprise Telesales Opportunity Pipelines Performance Management. Search on Bibsonomy ICEBE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Abdelhalim Alsharqawi, Abdel Ejnioui Synthesis of Self-Resetting Stage Logic Pipelines. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Lei Pan 0001, Ming Kin Lai, Michael B. Dillencourt, Lubomir F. Bic Mobile Pipelines: Parallelizing Left-Looking Algorithms Using Navigational Programming. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Shoumeng Yan, Xingshe Zhou 0001, Lingmin Wang, Fan Zhang, Haipeng Wang 0001 Task Assignment for Network Processor Pipelines Using GA. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Zhengwei Zhang, Hao Ye, Guizeng Wang, Jie Yang Leak Detection in Transport Pipelines Using Enhanced Independent Component Analysis and Support Vector Machines. Search on Bibsonomy ICNC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Christine Rochange, Pascal Sainrat A time-predictable execution mode for superscalar pipelines with instruction prescheduling. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF real-time, pipeline, WCET, processor architecture
21Emil Talpes, Diana Marculescu Increased Scalability and Power Efficiency by Using Multiple Speed Pipelines. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Srikanth T. Srinivasan, Ravi Rajwar, Haitham Akkary, Amit Gandhi, Michael Upton Continual Flow Pipelines: Achieving Resource-Efficient Latency Tolerance. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jing-Ling Yang, Oliver Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun Pipelines in Dynamic Dual-Rail Circuits. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Abdel Ejnioui, Abdelhalim Alsharqawi Pipeline-Level Control of Self-Resetting Pipelines. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Zhengwei Zhang, Hao Ye, Rong Hu Application of Enhanced Independent Component Analysis to Leak Detection in Transport Pipelines. Search on Bibsonomy ISNN (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Miguel A. Ridao Optimal use of DRA in oil pipelines. Search on Bibsonomy SMC (7) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Abdel Ejnioui, Abdelhalim Alsharqawi Self-resetting stage logic pipelines. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clockless, self-resetting, pipeline, asynchronous
21Georgios Theodoropoulos 0001, Qianyi Zhang A Distributed Colouring Algorithm for Control Hazards in Asynchronous Pipelines. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Srikanth T. Srinivasan, Ravi Rajwar, Haitham Akkary, Amit Gandhi, Michael Upton Continual flow pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CFP, non-blocking, latency tolerance, instruction window
21Dave Thomas, Andy Hunt Pipelines. Search on Bibsonomy IEEE Softw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Sudarshan K. Srinivasan, Miroslav N. Velev Formal Verification of an Intel XScale Processor Model with Scoreboarding, Specialized Execution Pipelines, and Impress Data-Memory Exceptions. Search on Bibsonomy MEMOCODE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Kun Young Chung, Sandeep K. Gupta 0001 Structural Delay Testing of Latch-based High-speed Pipelines with Time Borrowing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Gregg N. Hoyer, Gin Yee, Carl Sechen Locally clocked pipelines and dynamic logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Prabhat Mishra 0001, Nikil D. Dutt, Alexandru Nicolau, Hiroyuki Tomiyama Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Srinivasan Dasasathyan, Rajesh Radhakrishnan, Ranga Vemuri Framework for Synthesis of Virtual Pipelines. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Virtual Pipeline, SLAAC-1V board, JHDL, FPGAs, Pipelining, Dynamic Reconfiguration, Partial Reconfiguration
21Masa-Aki Fukase, Ryusuke Egawa, Tomoaki Sato, Tadao Nakamura Scaling Up Of Wave Pipelines. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Kenneth Y. Yun, Ayoob E. Dooply Optimal Evaluation Clocking of Self-Resetting Domino Pipelines. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Hong Shin Jun, Sun Young Hwang Automatic synthesis of dynamically configured pipelines supporting variable data initiation intervals. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Smita Bakshi, Daniel D. Gajski Design exploration for high-performance pipelines. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21M. Anton Ertl, Andreas Krall Instruction Scheduling for Complex Pipelines. Search on Bibsonomy CC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Mark R. Greenstreet, Kenneth Steiglitz Bubbles can make self-timed pipelines fast. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Anna Antola Multiple-transform pipelines for image coding. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19Thanh Nga Dang, Abhik Roychoudhury, Tulika Mitra, Prabhat Mishra 0001 Generating test programs to cover pipeline interactions. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF pipelines, automated test generation, state space exploration
19Seng Lin Shee, Andrea Erdos, Sri Parameswaran Architectural Exploration of Heterogeneous Multiprocessor Systems for JPEG. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design, architecture, multiprocessor, SoC, pipelines, ASIPs, heterogeneous system
19Mustafa Jarrar, Marios D. Dikaiakos MashQL: a query-by-diagram topping SPARQL. Search on Bibsonomy ONISW The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data web, query pipelines, query-by-diagram, semantic web, Web 2.0, RDF, mashups, SPARQL, linked data, Web 3.0
19Shiva Nejati, Mehrdad Sabetzadeh, Marsha Chechik, Sebastián Uchitel, Pamela Zave Towards compositional synthesis of evolving systems. Search on Bibsonomy SIGSOFT FSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF behavioural design patterns, feature-based development, synthesis, pipelines, I/O automata
19Antonio Sarasa Cabezuelo, Álvaro Navarro-Iborra, José Luis Sierra, Alfredo Fernández-Valmayor Building a Syntax Directed Processing Environment for XML Documents by Combining SAX and JavaCC. Search on Bibsonomy DEXA Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF XML Pipelines, XML Processing, SAX, Syntax-directed Translation, Javacc
19George Kola, Tevfik Kosar, Miron Livny A fully automated fault-tolerant system for distributed video processing and off-site replication. Search on Bibsonomy NOSSDAV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF data pipelines, off-site replication, clusters, fault-tolerance, distributed systems, grid, video processing, educational research
19Mark D. Aagaard, Byron Cook, Nancy A. Day, Robert B. Jones A framework for superscalar microprocessor correctness statements. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Microprocessor correctness, Commuting diagrams, Formal verification, Pipelines
19Jinson Koppanalil, Prakash Ramrakhyani, Sameer Desai, Anu Vaidyanathan, Eric Rotenberg A case for dynamic pipeline scaling. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable pipeline, fetch gating, power and energy management, shallow and deep pipelines, variable-depth pipeline, dynamic voltage scaling, clock gating
19Avi Mendelson, Neeraj Suri Designing High-Performance & Reliable Superscalar Architectures: The out of Order Reliable Superscalar (O3RS) Approach. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Transient Errors/Recovery, Pipelines, Superscalar architectures
19Allen E. Sjogren, Chris J. Myers Interfacing Synchronous and Asynchronous Modules Within a High-Speed Pipeline. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Mixed synchronous/asynchronous interfacing, stoppable clocks, high-speed pipelines, globally synchronous locally asynchronous, metastability, synchronization failure
19Youngju Won, Sartaj Sahni, Yacoub M. El-Ziq A Hardware Accelerator for Maze Routing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF banked memory, three-stage pipelines, hardware accelerator, circuit layout CAD, maze routing
19Paul D. Stachour, Bhavani Thuraisingham Design of LDV: A Multilevel Secure Relational Database Management System. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF classification level, polyinstantiation, type enforcement, multilevel secure relational database management system, secure database system, LDV, Lock Data Views, LOgical Coprocessing Kernel, assured pipelines, query processor, update processor, relational databases, operating system, aggregation, security policy, inference, security of data, LOCK, metadata management, Trusted Computing Base
19Norman P. Jouppi The Nonuniform Distribution of Instruction-Level and Machine Parallelism and Its Effect on Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF machine performance, first-order estimate, machine parallelism, instruction-level, machine pipelines, MultiTitan, superscalar machine, performance evaluation, parallel architectures, pipeline processing, CRAY-1
19Venkatraman R. Iyer, Howard A. Sholl Software Partitioning for Distributed, Sequential, Pipelined Applications. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF sequential pipelines, Distributed Systems, throughput, response time, software partitioning
18Brian P. Bailey, Eric Horvitz What's your idea?: a case study of a grassroots innovation pipeline within a large software company. Search on Bibsonomy CHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF idea management, creativity, innovation, organizations
18Juan Carlos Saez, Manuel Prieto 0001, Alexandra Fedorova, Sergey Blagodurov A comprehensive scheduler for asymmetric multicore systems. Search on Bibsonomy EuroSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asymmetric multicore, scheduling, operating systems
18Emanuele Santos, Lauro Didier Lins, James P. Ahrens, Juliana Freire, Cláudio T. Silva VisMashup: Streamlining the Creation of Custom Visualization Applications. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Chaitanya Mishra, Nick Koudas Join Reordering by Join Simulation. Search on Bibsonomy ICDE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Andrew D. Hilton, Santosh Nagarakatte, Amir Roth iCFP: Tolerating all-level cache misses in in-order processors. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Shahriyar Hossain, Hasan M. Jamil A Visual Interface for on-the-fly Biological Database Integration and Workflow Design Using VizBuilder. Search on Bibsonomy DILS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Anjali Mahajan, M. Sadique Ali Superblock scheduling using genetic programming for embedded systems. Search on Bibsonomy IEEE ICCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Young Sik Kwon, Hoon Lim, Eui-Jung Jung, Byung-Ju Yi Design and motion planning of a two-moduled indoor pipeline inspection robot. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Stephen A. Edwards, Jia Zeng Static elaboration of recursion for concurrent software. Search on Bibsonomy PEPM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SHIM, static elaboration, concurrency, recursion, partial evaluation
18Tarek A. El-Ghazawi, Guy G. Lemieux Extreme parallel architectures for the masses. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF custom compute engine, FPGA, parallel processing, reconfigurable computing
18Richard H. Larson, John K. Salmon, Ron O. Dror, Martin M. Deneroff, Cliff Young, J. P. Grossman, Yibing Shan, John L. Klepeis, David E. Shaw High-throughput pairwise point interactions in Anton, a specialized machine for molecular dynamics simulation. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Alec Heller, Jesse A. Tov Caml-Shcaml: an ocaml library for unix shell programming. Search on Bibsonomy ML The full citation details ... 2008 DBLP  DOI  BibTeX  RDF shell programming, domain-specific languages, unix, types, objective caml
18Jing Zhao 0001, Ping Zhang, Guohong Cao On Cooperative Caching in Wireless P2P Networks. Search on Bibsonomy ICDCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Krishna M. Kavi, Wentong Li, Ali R. Hurson A Non-blocking Multithreaded Architecture with Support for Speculative Threads. Search on Bibsonomy ICA3PP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cache Coherency, Thread Level Speculation, Multithreaded Architectures, Decoupled Architecture
18Cristian Soviani, Olivier Tardieu, Stephen A. Edwards Optimizing Sequential Cycles Through Shannon Decomposition and Retiming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ivan Stoianov, Lama Nachman, Samuel Madden 0001, Timur Tokmouline PIPENETa wireless sensor network for pipeline monitoring. Search on Bibsonomy IPSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Intel mote platforms, pipeline monitoring, water supply systems, wireless sensor networks
18Tomas Ágoston, Csaba Csuprai, Juraj Onderik, Roman Durikovic Design of Modular Rendering Pipeline. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Peng Zhang, Xingyu Peng, Xinqian Li Oil and Gas Pipeline Limited Charge Optimum Maintenance Decision-Making Analysis Based on Fuzzy-Gray-Element Theory and Fuzzy Analytical Hierarchy Process*. Search on Bibsonomy ICFIE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fuzzy-gray-element, maintenance decisionmaking, fuzzy analytical hierarchy process
18Edward K. Walters II, J. Eliot B. Moss, Trek S. Palmer, Timothy Richards, Charles C. Weems Modeling Modern Micro-architectures using CASL. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Baofeng Li, Yong Dou FIDP: A Novel Architecture for Lifting-Based 2D DWT in JPEG2000. Search on Bibsonomy MMM (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Alex Gontmakher, Avi Mendelson, Assaf Schuster Using fine grain multithreading for energy efficient computing. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register sharing, energy efficiency, fine grain parallelization
18Yu-Shen Yang, Andreas G. Veneris, Paul J. Thadikaran, Srikanth Venkataraman Extraction error modeling and automated model debugging in high-performance custom designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Xianfeng Li, Abhik Roychoudhury, Tulika Mitra Modeling out-of-order processors for WCET analysis. Search on Bibsonomy Real Time Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Worst-case execution time (WCET) analysis, Out-of-order superscalar processor, Branch prediction, Instruction cache
18Tatsuhiro Tachibana, Yoshihiro Murata, Naoki Shibata, Keiichi Yasumoto, Minoru Ito Flexible implementation of genetic algorithms on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Bren Mochocki, Kanishka Lahiri, Srihari Cadambi Power analysis of mobile 3D graphics. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Swarnalatha Radhakrishnan, Hui Guo 0001, Sri Parameswaran Customization of application specific heterogeneous multi-pipeline processors. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Cristian Soviani, Olivier Tardieu, Stephen A. Edwards Optimizing sequential cycles through Shannon decomposition and retiming. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Tatsuhiro Tachibana, Yoshihiro Murata, Naoki Shibata, Keiichi Yasumoto, Minoru Ito General Architecture for Hardware Implementation of Genetic Algorithm. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Bor-Yuh Evan Chang, Matthew Harren, George C. Necula Analysis of Low-Level Code Using Cooperating Decompilers. Search on Bibsonomy SAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jason C. Chen, Chun-Fu Shen, Shao-Yi Chien CRISP: coarse-grain reconfigurable image signal processor for digital still cameras. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Abdelhalim Alsharqawi, Abdel Ejnioui Clockless Pipelining for Coarse Grain Datapaths. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Carlos Rueda, Michael Gertz 0001, Bertram Ludäscher, Bernd Hamann An Extensible Infrastructure for Processing Distributed Geospatial Data Streams. Search on Bibsonomy SSDBM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Giuliano Taffoni, Davide Maino, Claudio Vuerli, Giuliano Castelli, Riccardo Smareglia, Andrea Zacchei, Torsten A. Ensslin, Fabio Pasian Enabling Grid Technologies for Simulating the Planck LFI Simulated Mission. Search on Bibsonomy CAMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Planck satellite mission, CMB- Grid computing, EGEE- HPC, Globus
18Louis Bavoil, Steven P. Callahan, Carlos Eduardo Scheidegger, Huy T. Vo, Patricia Crossno, Cláudio T. Silva, Juliana Freire VisTrails: Enabling Interactive Multiple-View Visualizations. Search on Bibsonomy IEEE Visualization The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interrogative visualization, caching, dataflow, coordinated views
18Flavio Corradini, Walter Vogler Performance of Pipelined Asynchronous Systems. Search on Bibsonomy FORMATS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18J.-Hendrik Pfeiffer, Wilhelm R. Rossak, Andreas Speck Applying Model Checking to Workflow Verification. Search on Bibsonomy ECBS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Sandip Ray, Warren A. Hunt Jr. Deductive Verification of Pipelined Machines Using First-Order Quantification. Search on Bibsonomy CAV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Leonidas Fegaras XQuery Processing with Relevance Ranking. Search on Bibsonomy XSym The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Joseph M. Palmer, Brent E. Nelson A Parallel FFT Architecture for FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Timothy J. Dysart, Branden J. Moore, Lambert Schaelicke, Peter M. Kogge Cache implications of aggressively pipelined high performance microprocessors. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Udayan Kanade Performance of Work Conserving Schedulers and Scheduling of Some Synchronous Dataflow Graphs. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF online scheduling algorithms, synchronous dataflow graphs, performance analysis of parallel systems, real time systems, Parallel job scheduling
18Xianfeng Li, Abhik Roychoudhury, Tulika Mitra Modeling Out-of-Order Processors for Software Timing Analysis. Search on Bibsonomy RTSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Andreas Gerndt, Mark Asbach, Torsten W. Kuhlen, Christian H. Bischof, Stefan Lankes, Thomas Bemmerl Conceptual design and implementation of a pipeline-based VR-system parallelized by CORBA, and comparison with existing approaches. Search on Bibsonomy VRCAI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF visualization pipeline, virtual reality, parallelization, MPI, CORBA, CORBA
18Hans M. Jacobson Improved clock-gating through transparent pipelining. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adaptive pipeline depth, dynamic pipeline scaling, optimal pipeline clocking, pipeline stage unification, transparent pipeline, low power, high performance, microarchitecture, circuits, clock gating
18T. N. Vijaykumar, Zeshan Chishti Wire Delay is Not a Problem for SMT (In the Near Future). Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jakob Engblom, Andreas Ermedahl, Mikael Sjödin, Jan Gustafsson, Hans Hansson Worst-case execution-time analysis for embedded real-time systems. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Embedded systems, Software architecture, Hard real-time, WCET analysis, Programming tools
18Mark D. Aagaard A Hazards-Based Correctness Statement for Pipelined Circuits. Search on Bibsonomy CHARME The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 2403 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license