The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for placement with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1974 (19) 1975-1976 (15) 1977-1979 (22) 1980-1981 (16) 1982-1983 (24) 1984 (27) 1985 (26) 1986 (26) 1987 (32) 1988 (59) 1989 (61) 1990 (83) 1991 (70) 1992 (68) 1993 (64) 1994 (105) 1995 (111) 1996 (112) 1997 (139) 1998 (171) 1999 (197) 2000 (234) 2001 (257) 2002 (302) 2003 (397) 2004 (451) 2005 (570) 2006 (603) 2007 (649) 2008 (669) 2009 (551) 2010 (388) 2011 (436) 2012 (449) 2013 (566) 2014 (551) 2015 (643) 2016 (643) 2017 (791) 2018 (838) 2019 (982) 2020 (922) 2021 (962) 2022 (978) 2023 (949) 2024 (223)
Publication types (Num. hits)
article(6401) book(10) data(5) incollection(69) inproceedings(9778) phdthesis(188)
Venues (Conferences, Journals, ...)
CoRR(860) DAC(375) IEEE Trans. Comput. Aided Des....(334) ICCAD(252) IEEE Access(221) ISPD(208) GLOBECOM(171) ICC(167) ASP-DAC(163) DATE(127) Sensors(123) ICRA(109) INFOCOM(102) FPL(101) ACC(94) FPGA(88) More (+10 of total 2867)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5436 occurrences of 2452 keywords

Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
40Natarajan Viswanathan, Gi-Joon Nam, Jarrod A. Roy, Zhuo Li 0001, Charles J. Alpert, Shyam Ramji, Chris Chu ITOP: integrating timing optimization within placement. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF placement, timing optimization, physical synthesis
40Gregory Buehrer, Srinivasan Parthasarathy 0001, Shirish Tatikonda A distributed placement service for graph-structured and tree-structured data. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF distributed computing, structured data, data placement
40Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong Yao Dose map and placement co-optimization for timing yield enhancement and leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dose map, placement, timing yield, leakage power reduction
40Haoxing Ren, David Z. Pan, Charles J. Alpert, Gi-Joon Nam, Paul G. Villarrubia Hippocrates: First-Do-No-Harm Detailed Placement. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis optimizations, Hippocrates, first-do-no-harm detailed placement, pin-based timing constraint, electrical constraints, reduced wire-length
40Gang Chen 0020, Jason Cong Simultaneous placement with clustering and duplication. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF clustering, FPGA, Placement, legalization, duplication, redundancy removal
40Charles J. Alpert, Andrew B. Kahng, Gi-Joon Nam, Sherief Reda, Paul Villarrubia A semi-persistent clustering technique for VLSI circuit placement. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI placement, physical design, hypergraph clustering
40Amit Chowdhary, Karthik Rajagopal, Satish Venkatesan, Tung Cao, Vladimir Tiourin, Yegna Parasuram, Bill Halpin How accurately can we model timing in a placement engine? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF differential timing analysis, linear programming, static timing analysis, timing-driven placement
40Yongqiang Lu 0001, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Liang Huang, Jiang Hu Navigating registers in placement for clock network minimization. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, placement, clock network, variation tolerance
40Lakshmish Ramaswamy, Ling Liu 0001 An Expiration Age-Based Document Placement Scheme for Cooperative Web Caching. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cooperative Web caching, document placement, distributed caching
40Keqiu Li, Hong Shen 0001 Optimal Placement of Web Proxies for Tree Networks. Search on Bibsonomy EEE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF web proxy placement, dynamic programming, Web caching, autonomous system, optimization problem, tree network
40Herbert Walder, Christoph Steiger, Marco Platzner Fast Online Task Placement on FPGAs: Free Space Partitioning and 2D-Hashing. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FGPA, placement, task
40Jason Cong, Tim Kong, Joseph R. Shinnerl, Min Xie 0004, Xin Yuan 0005 Large-Scale Circuit Placement: Gap and Promise. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Optimality, Scalability, Placement, Large-Scale Optimization
40Chao-Yang Yeh, Malgorzata Marek-Sadowska Delay budgeting in sequential circuit with application on FPGA placement. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay budgeting, FPGA, placement, sequential circuits
40Peter Verplaetse, Joni Dambre, Dirk Stroobandt, Jan Van Campenhout On partitioning vs. placement rent properties. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF wire length distribution, partitioning, placement, estimation, Rent's rule
40Kenneth M. Wilson, Bob B. Aglietti Dynamic page placement to improve locality in CC-NUMA multiprocessors for TPC-C. Search on Bibsonomy SC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF TPC-C, dynamic page placement, multiprocessor, replication, migration, CC-NUMA
40Andrew B. Kahng, Sherief Reda, Qinke Wang APlace: A High Quality, Large-Scale Analytical Placer. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Peter Spindler, Frank M. Johannes Kraftwerk: A Fast and Robust Quadratic Placer Using an Exact Linear Net Model. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40 Conclusion and Challenges. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Gate planning during placement for gated clock network. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Shigetoshi Nakatake Structured Placement with Topological Regularity Evaluation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Jason Cong, Guojie Luo, Jie Wei, Yan Zhang Thermal-Aware 3D IC Placement Via Transformation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Bassam A. Alqaralleh, Chen Wang 0008, Bing Bing Zhou, Albert Y. Zomaya Effects of Replica Placement Algorithms on Performance of structured Overlay Networks. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Ahmed Abou ElFarag, Hatem M. El-Boghdadi, Samir I. Shaheen Miss Ratio Improvement For Real-Time Applications Using Fragmentation-Aware Placement. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Hamid R. Zarandi, Seyed Ghassem Miremadi, Dhiraj K. Pradhan, Jimson Mathew SEU-Mitigation Placement and Routing Algorithms and Their Impact in SRAM-Based FPGAs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Ismail Ababneh A Performance Comparison of Contiguous Allocation Placement Schemes for 2D Mesh-connected Multicomputers. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Chanseok Hwang, Massoud Pedram Timing-driven placement based on monotone cell ordering constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Lerong Cheng, Jinjun Xiong, Lei He 0001, Mike Hutton FPGA Performance Optimization Via Chipwise Placement Considering Process Variations. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Gi-Joon Nam ISPD 2006 Placement Contest: Benchmark Suite and Results. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Peter Spindler, Frank M. Johannes Fast and robust quadratic placement combined with an exact linear net model. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Zoë Abrams, Jie Liu 0001 Greedy is Good: On Service Tree Placement for In-Network Stream Processing. Search on Bibsonomy ICDCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Charles J. Alpert, Gi-Joon Nam, Paul Villarribua, Mehmet Can Yildiz Placement stability metrics. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Paul Villarrubia Important placement considerations for modern VLSI chips. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Saurabh N. Adya, Igor L. Markov, Paul Villarrubia On Whitespace and Stability in Mixed-Size Placement and Physical Synthesis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Steve McKeever, Wayne Luk, Arran Derbyshire Towards Verifying Parametrised Hardware Libraries with Relative Placement Information. Search on Bibsonomy HICSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Steve McKeever, Wayne Luk, Arran Derbyshire Compiling Hardware Descriptions with Relative Placement Information for Parametrised Libraries. Search on Bibsonomy FMCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40PariVallal Kannan, Dinesh Bhatia Tightly Integrated Placement and Routing for FPGAs. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Nirupama Bulusu, Deborah Estrin, John S. Heidemann Adaptive Beacon Placement. Search on Bibsonomy ICDCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Wilm E. Donath, Prabhakar Kudva, Leon Stok, Paul Villarrubia, Lakshmi N. Reddy, Andrew Sullivan, Kanad Chakraborty Transformational Placement and Synthesis. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
40Yu-Wen Tsay, Youn-Long Lin A row-based cell placement method that utilizes circuit structural properties. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
38Doug Kimelman, Pradeep Mittal, Edith Schonberg, Peter F. Sweeney, Ko-Yang Wang, Dror Zernik Visualizing the execution of High Performance Fortran (HPF) programs. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF High Performance Fortran programs, data placement programming model, performance visualization systems, high level views, HPF program behavior, communication activity, array distribution, data placement program tuning, parallel programming, FORTRAN, visual programming, software performance evaluation, programming model, parallel languages, data distribution, program diagnostics
37Quanhong Wang, Kenan Xu, Hossam S. Hassanein, Glen Takahara Minimum cost guaranteed lifetime design for heterogeneous wireless sensor networks (WSNs). Search on Bibsonomy IPCCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Can recursive bisection alone produce routable placements? Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Zhong Xiu, Rob A. Rutenbar Mixed-size placement with fixed macrocells using grid-warping. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mixed-size placement, algorithms, placement
36Brent Goplen, Prashant Saxena, Sachin S. Sapatnekar Net weighting to reduce repeater counts during placement. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect, placement, scaling, buffering, repeater, force-directed placement, net weighting
36Wai-Kei Mak I/O placement for FPGAs with multiple I/O standards. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF I/O placement, I/O standards, field-programmable gate array, placement
36Xiaohua Jia, Deying Li 0001, Xiao-Dong Hu 0001, Ding-Zhu Du Placement of Read-Write Web Proxies in the Internet. Search on Bibsonomy ICDCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF proxy placement, Web server, data placement, web proxy, Internet technology
36Jackey Z. Yan, Chris Chu, Wai-Kei Mak SafeChoice: a novel clustering algorithm for wirelength-driven placement. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF vlsi placement, physical design, hypergraph clustering
36Zigang Xiao, Evangeline F. Y. Young Droplet-routing-aware module placement for cross-referencing biochips. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cross-referencing, dmfb, synthesis, placement, microfluidics, biochip
36Ashutosh Chakraborty, David Z. Pan PASAP: power aware structured ASIC placement. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF structured ASICS, low power, placement, regular fabrics
36Wentao Sui, Sheqin Dong, Jinian Bian Wirelength-driven force-directed 3D FPGA placement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SA, partition, placement, legalization, 3-D, force-directed
36Valerij Matrose, Carsten Gremzow Improved placement for hierarchical FPGAs exploiting local interconnect resources. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, interconnect, placement
36Bahareh Fathi, Laleh Behjat, Logan M. Rakai A pre-placement net length estimation technique for mixed-size circuits. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wire length estimation, placement, physical design, hypergraph clustering
36Bratislav Milic, Miroslaw Malek NPART - node placement algorithm for realistic topologies in wireless multihop network simulation. Search on Bibsonomy SimuTools The full citation details ... 2009 DBLP  DOI  BibTeX  RDF node placement, simulation, wireless multihop networks, topology generation
36Zhe-Wei Jiang, Meng-Kai Hsu, Yao-Wen Chang, Kai-Yuan Chao Spare-cell-aware multilevel analytical placement. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spare cells, placement, physical design
36Thomas Repantis, Vana Kalogeraki Replica placement for high availability in distributed stream processing systems. Search on Bibsonomy DEBS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high availability, replica placement, distributed stream processing
36Wenzhong Li, Edward Chan, Yilin Wang, Daoxu Chen, Sanglu Lu Cache Placement Optimization in Hierarchical Networks: Analysis and Performance Evaluation. Search on Bibsonomy Networking The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hierarchical caching system, cache placement and replacement, cooperative caching
36Rami Puzis, Marius David Klippel, Yuval Elovici, Shlomi Dolev Optimization of NIDS Placement for Protection of Intercommunicating Critical Infrastructures. Search on Bibsonomy EuroISI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF communication infrastructure protection, NIDS placement, epidemic models
36Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Activity and register placement aware gated clock network design. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gated clock tree, low power, placement
36Tung-Chieh Chen, Minsik Cho, David Z. Pan, Yao-Wen Chang Metal-density driven placement for cmp variation and routability. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, placement, physical design, manufacturability
36Georg Wittenburg, Jochen H. Schiller A Survey of Current Directions in Service Placement in Mobile Ad-hoc Networks. Search on Bibsonomy PerCom The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Service Placement, Current Directions, Mobile Ad-hoc Networks, MANETs, Survey, State of the Art
36Tung-Chieh Chen, Ashutosh Chakraborty, David Z. Pan An integrated nonlinear placement framework with congestion and porosity aware buffer planning. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, placement, physical design, buffer
36Chien-Min Wang, Chun-Chen Hsu, Pangfeng Liu, Hsi-Min Chen, Jan-Jan Wu Optimizing server placement in hierarchical grid environments. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Grids, Workload, Waiting time, Queueing systems, File replication, Server placement
36Chunqiang Tang, Malgorzata Steinder, Mike Spreitzer, Giovanni Pacifici A scalable application placement controller for enterprise data centers. Search on Bibsonomy WWW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF application placement, performance management
36Zhanping Liu, Robert J. Moorhead, Joe Groner An Advanced Evenly-Spaced Streamline Placement Algorithm. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF evenly-spaced streamlines, streamline placement, seeding strategy, closed streamlines, Flow visualization
36Aaron So, Ben Liang 0001 A Lagrangian Approach for the Optimal Placement of Wireless Relay Nodes in Wireless Local Area Networks. Search on Bibsonomy Networking The full citation details ... 2006 DBLP  DOI  BibTeX  RDF immobile relays, optimal placement, WLAN, throughput capacity
36Martha Mercaldi, Steven Swanson, Andrew Petersen 0001, Andrew Putnam, Andrew Schwerin, Mark Oskin, Susan J. Eggers Modeling instruction placement on a spatial architecture. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction placement, dataflow, spatial computing
36Vinod Ganapathy, Trent Jaeger, Somesh Jha Automatic placement of authorization hooks in the linux security modules framework. Search on Bibsonomy CCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF LSM, hook placement, static analysis, SELinux
36Chao-Yang Yeh, Malgorzata Marek-Sadowska Skew-programmable clock design for FPGA and skew-aware placement. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF clock architecture, skew optimization, placement
36Gi-Joon Nam, Charles J. Alpert, Paul Villarrubia, Bruce Winter, Mehmet Can Yildiz The ISPD2005 placement contest and benchmark suite. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI placement, benchmarks, physical design
36Tony F. Chan, Jason Cong, Kenton Sze Multilevel generalized force-directed method for circuit placement. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF force-directed method, multilevel, standard cell placement
36Bernd Obermeier, Hans Ranke, Frank M. Johannes Kraftwerk: a versatile placement approach. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Kraftwerk, force-directed placement, domino
36Yuan-Hsiu Chen, Pao-Ann Hsiung Hardware Task Scheduling and Placement in Operating Systems for Dynamically Reconfigurable SoC. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Operating System for Reconfigurable SoC, Hardware Scheduling, Placement, Dynamic Partial Reconfiguration
36Quanhong Wang, Glen Takahara, Hossam S. Hassanein, Kenan Xu On Relay Node Placement and Locally Optimal Traffic Allocation in Heterogeneous Wireless Sensor Networks. Search on Bibsonomy LCN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Device Placement, Variable Transmission Range, Wireless Sensor Networks, Cost, Lifetime
36Deepak Ganesan, Razvan Cristescu, Baltasar Beferull-Lozano Power-efficient sensor placement and transmission structure for data gathering under distortion constraints. Search on Bibsonomy IPSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sensing distortion, sensor node placement, sensor networks, energy efficiency, information theory, data gathering
36Sven Buchholz 0002, Thomas Buchholz Replica placement in adaptive content distribution networks. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adaptation path composition, content adaptation, CDN, replica placement
36Takashi Nojima, Yasuhiro Takashima, Shigetoshi Nakatake, Yoji Kajitani A device-level placement with multi-directional convex clustering. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cluster-constraint, device-level placement, directional convex, rectangle packing, sequence-pair
36Michael A. Riepe, Karem A. Sakallah Transistor placement for noncomplementary digital VLSI cell synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Cell Synthesis, Euler graphs, noncomplementary circuits, sequence pair optimization, transistor chaining, transistor placement, digital circuits, benchmark circuits
36Guang-Ming Wu, Yun-Chih Chang, Yao-Wen Chang Rectilinear block placement using B*-trees. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF placement, layout, floorplanning, Computer-aided design of VLSI
36Michael G. Wrighton, André DeHon Hardware-assisted simulated annealing with application for fast FPGA placement. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, simulated annealing, placement, reconfigurable computing, design automation
36Saurabh N. Adya, Mehmet Can Yildiz, Igor L. Markov, Paul Villarrubia, Phiroze N. Parakh, Patrick H. Madden Benchmarking for large-scale placement and beyond. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF placer, signal delay, performance, evaluation, routing, benchmark, timing, placement, layout, congestion, comparison, wirelength
36Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang Estimation of wirelength reduction for lambda-geometry vs. manhattan placement and routing. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?-geometry routing, ?-geometry-driven placement, wirelength reduction estimation
36Shyam Ramji, Nagu R. Dhanwada Design topology aware physical metrics for placement analysis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF path-monotonicity, placement, timing analysis, wirelength
36Srivatsan Varadarajan, Raja Harinath, Jaideep Srivastava, Zhi-Li Zhang Coverage-Aware Proxy Placement for Dynamic Content Management over the Internet. Search on Bibsonomy ICDCS Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Proxy Placement, Internet, Coverage, Content Distribution Network (CDN)
36Craig W. Cameron, Steven H. Low, David X. Wei High-density model for server allocation and placement. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high density, server placement and allocation, content distribution
36Yuanling Zhu, Kevin Lü 0001 An Effective Data Placement Strategy for XML Documents. Search on Bibsonomy BNCOD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Graph Partitioning and Parallel Data Processing, XML Documents, Data Placement
36Donald Kossmann, Michael J. Franklin, Gerhard Drasch Cache investment: integrating query optimization and distributed data placement. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cache investment, client-server database systems, dynamic data placement, query shipping, caching, query optimization, data shipping
36Hiroyuki Tomiyama, Hiroto Yasuura Code placement techniques for cache miss rate reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF integer linear programming, instruction cache, code placement
36François Bodart, Anne-Marie Hennebert, Jean-Marie Leheureux, Jean Vanderdonckt Towards a Dynamic Strategy for Computer-Aided Visual Placement. Search on Bibsonomy Advanced Visual Interfaces The full citation details ... 1994 DBLP  DOI  BibTeX  RDF dynamic strategy, presentation unit, static strategy, visual interface design and management, visual placement, grid, layouts, visual techniques, visual interaction, interaction objects
35Haoxing Ren, David Z. Pan, Charles J. Alpert, Paul G. Villarrubia, Gi-Joon Nam Diffusion-Based Placement Migration With Application on Legalization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Anand Murugappan, Ling Liu 0001 A SpatioTemporal Placement Model for Caching Location Dependent Queries. Search on Bibsonomy MobiQuitous The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Andrew B. Kahng, Sung-Mo Kang, Wei Li, Bao Liu 0001 Analytical thermal placement for VLSI lifetime improvement and minimum performance variation. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Jing Li, Hiroshi Miyashita Efficient Thermal Via Planning for Placement of 3D Integrated Circuits. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Tung-Chieh Chen, Ping-Hung Yuh, Yao-Wen Chang, Fwu-Juh Huang, Denny Liu MP-trees: A Packing-Based Macro Placement Algorithm for Mixed-Size Designs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Yuh-Shyan Chen, Ting-Lung Lin Code Placement and Replacement Schemes for WCDMA Rotated-OVSF Code Tree Management. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF code reassignment, Wireless communication, WCDMA, OVSF, code assignment
35Gi-Joon Nam, Sherief Reda, Charles J. Alpert, Paul Villarrubia, Andrew B. Kahng A Fast Hierarchical Quadratic Placement Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada Exact minimum-width multi-row transistor placement for dual and non-dual CMOS cells. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Ameya R. Agnihotri, Satoshi Ono, Chen Li 0004, Mehmet Can Yildiz, Ateen Khatkhate, Cheng-Kok Koh, Patrick H. Madden Mixed block placement via fractional cut recursive bisection. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Peter R. Pietzuch, Jeffrey Shneidman, Jonathan Ledlie, Matt Welsh, Margo I. Seltzer, Mema Roussopoulos Evaluating DHT-Based Service Placement for Stream-Based Overlays. Search on Bibsonomy IPTPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Lijuan Luo, Qiang Zhou 0001, Xianlong Hong, Hanbin Zhou Multi-stage Detailed Placement Algorithm for Large-Scale Mixed-Mode Layout Design. Search on Bibsonomy ICCSA (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 16451 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license