The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for registers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1967 (17) 1968-1972 (15) 1973-1977 (18) 1978-1980 (17) 1981-1984 (20) 1985-1986 (27) 1987 (19) 1988 (35) 1989 (24) 1990 (40) 1991 (25) 1992 (39) 1993 (36) 1994 (51) 1995 (77) 1996 (60) 1997 (59) 1998 (69) 1999 (73) 2000 (86) 2001 (95) 2002 (123) 2003 (133) 2004 (151) 2005 (159) 2006 (177) 2007 (191) 2008 (177) 2009 (104) 2010 (26) 2011 (32) 2012 (28) 2013 (26) 2014 (24) 2015 (27) 2016 (30) 2017 (25) 2018 (26) 2019 (35) 2020 (31) 2021 (41) 2022 (20) 2023 (30) 2024 (8)
Publication types (Num. hits)
article(745) book(1) incollection(13) inproceedings(1756) phdthesis(11)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2555 occurrences of 1182 keywords

Results
Found 2526 publication records. Showing 2526 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Faith Ellen, Panagiota Fatourou, Eric Ruppert The space complexity of unbounded timestamps. Search on Bibsonomy Distributed Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Obstruction-free, Lower bounds, Anonymous, Shared memory, Timestamps, Wait-free
31Stéphane Demri, Ranko Lazic 0001, Arnaud Sangnier Model Checking Freeze LTL over One-Counter Automata. Search on Bibsonomy FoSSaCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Panagiota Fatourou, Nikolaos D. Kallimanis Time-optimal, space-efficient single-scanner snapshots & multi-scanner snapshots using CAS. Search on Bibsonomy PODC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF linearizable objects, single-scanner, wait-free implementations, distributed algorithms, asynchronous, snapshots, compare-and-swap (CAS)
31Burkhard Englert Adaptive Algorithms Using Bounded Memory Are Inherently Non-uniform. Search on Bibsonomy ISPA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Priyank Kalla, Maciej J. Ciesielski A comprehensive approach to the partial scan problem using implicitstate enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Alban Douillet, José Nelson Amaral, Guang R. Gao Fine-Grain Stacked Register Allocation for the Itanium Architecture. Search on Bibsonomy LCPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Jack L. Lo, Sujay S. Parekh, Susan J. Eggers, Henry M. Levy, Dean M. Tullsen Software-Directed Register Deallocation for Simultaneous Multithreaded Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF architecture, register file, simultaneous multithreading, Multithreaded architecture
31Hagit Attiya, Alla Gorbach, Shlomo Moran Computing in Totally Anonymous Asynchronous Shared Memory Systems. Search on Bibsonomy DISC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Priyank Kalla, Maciej J. Ciesielski A comprehensive approach to the partial scan problem using implicit state enumeration. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Miodrag Potkonjak, Sujit Dey, Rabindra K. Roy Behavioral synthesis of area-efficient testable designs using interaction between hardware sharing and partial scan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
31Yehuda Afek, Hagit Attiya, Danny Dolev, Eli Gafni, Michael Merritt, Nir Shavit Atomic Snapshots of Shared Memory. Search on Bibsonomy J. ACM The full citation details ... 1993 DBLP  DOI  BibTeX  RDF consistent state, fault-tolerance, atomic, snapshot
31Bernhard Eschermann, Hans-Joachim Wunderlich Optimized synthesis techniques for testable sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
31Henry G. Dietz, Chi-Hung Chi CRegs: a new kind of memory for referencing arrays and pointers. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
31Thomas Philip Using animated color graphics to illustrate software and hardware organizations (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
31Yesh C. Pendarkar The porting of XINU to the system 370 VM/CMS environment (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF XINU, IBM System/370
31Liina Repo, Brett Hashimoto, Veronika Laippala In search of founding era registers: automatic modeling of registers from the corpus of Founding Era American English. Search on Bibsonomy Digit. Scholarsh. Humanit. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
31Xing Hu 0009, Sam Toueg On implementing SWMR registers from SWSR registers in systems with Byzantine failures. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
31Xing Hu 0009, Sam Toueg On Implementing SWMR Registers from SWSR Registers in Systems with Byzantine Failures. Search on Bibsonomy DISC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
31Jianfei Luo, Jing Xiang Three Registers behind two Characters: an Analysis of the Words' Formation and their Registers. Search on Bibsonomy CLSW The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
31Colette Johnen, Lisa Higham Fault-Tolerant Implementations of Regular Registers by Safe Registers with Applications to Networks. Search on Bibsonomy ICDCN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Colette Johnen, Lisa Higham Fault-tolerant implementations of atomic registers by safe registers in networks. Search on Bibsonomy PODC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Cezara Dragoi, Gheorghe Stefanescu On Compiling Structured Interactive Programs with Registers and Voices. Search on Bibsonomy SOFSEM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF structured rv-systems, registers and voices, programming languages, interactive systems, operational semantics, compiler correctness
30Bard Bloom Constructing Two-Writer Atomic Registers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF two-writer, memory register, two-writer protocol, protocols, computer architecture, atomic registers, proof of correctness
30C. L. Chen Linear Dependencies in Linear Feedback Shift Registers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF Linear feedback shift registers, test pattern generation, self-test
30Alexis C. Arvillias, Dimitris G. Maritsas Toggle-Registers Generating in Parallel k kth Decimations of m-Sequences xp + xk + 1 Design Tables. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF Tausworthe generators, linear feedback shift registers (FSR's), primitive trinomials, random number generators, Decimation, m-sequences, key generators
29Nirmal R. Saxena, Edward J. McCluskey Parallel Signatur Analysis Design with Bounds on Aliasing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF aliasing probability bounds, parallel signature designs, multiple input signature registers (MISR), linear feedback shift registers, random testing, Signature analysis
29Laung-Terng Wang, Edward J. McCluskey Linear Feedback Shift Register Design Using Cyclic Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF feedback, codes, linear-feedback shift registers, shift registers, design technique, test patterns, cyclic codes, pseudoexhaustive testing
29P. Golan, Ondrej Novák, Jan Hlavicka Pseudoexhaustive Test Pattern Generator with Enhanced Fault Coverage. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF pseudoexhaustive test pattern generation, random access scan, scan addresses, logic testing, integrated circuit testing, feedback, linear feedback shift registers, shift registers
28Minwook Ahn, Yunheung Paek Fast Code Generation for Embedded Processors with Aliased Heterogeneous Registers. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous register architecture, register aliasing, compiler, code generation, register allocation, register coalescing
28Hong-Zu Chou, Kai-Hui Chang, Sy-Yen Kuo Handling don't-care conditions in high-level synthesis and application for reducing initialized registers. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RTL symbolic simulation, don't-care (DC), synthesis
28Elena Dubrova, Maxim Teslenko, Hannu Tenhunen On Analysis and Synthesis of (n, k)-Non-Linear Feedback Shift Registers. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Andrew Lutomirski, Victor Luchangco Efficient Large Almost Wait-Free Single-Writer Multireader Atomic Registers. Search on Bibsonomy OPODIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Nainesh Agarwal, Nikitas J. Dimopoulos Automated Power Gating of Registers Using CoDeL and FSM Branch Prediction. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Je-Hyung Lee, Jinpyo Park, Soo-Mook Moon Securing More Registers with Reduced Instruction Encoding Architectures. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Nainesh Agarwal, Nikitas J. Dimopoulos Towards Automated Power Gating of Registers using CoDeL. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Yongqiang Lu 0001, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Liang Huang, Jiang Hu Navigating registers in placement for clock network minimization. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, placement, clock network, variation tolerance
28Andrew Klapper A Survey of Feedback with Carry Shift Registers. Search on Bibsonomy SETA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28César Sánchez 0002, José Joaquín Rieta, Francisco Castells, Raúl Alcaraz 0001, José Millet Wavelet Domain Blind Signal Separation to Analyze Supraventricular Arrhythmias from Holter Registers. Search on Bibsonomy ICA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Pradeep Varma Wait-Free Publish/Subscribe Using Atomic Registers. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Andrew W. Appel, Lal George Optimal Spilling for CISC Machines with Few Registers. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Markus Feldbach, Klaus D. Tönnies Robust Line Detection in Historical Church Registers. Search on Bibsonomy DAGM-Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF text line detection, Handwriting recognition, document image processing
28Markus Feldbach, Klaus D. Tönnies Line Detection and Segmentation in Historical Church Registers. Search on Bibsonomy ICDAR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Chih-Yung Chang, Tzung-Shi Chen, Jang-Ping Sheu Improving Memory Traffic by Assembly-Level Exploitation of Reuses for Vector Registers. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF vector register, partial reuse, vector compilers, vectorization, data dependence, supercomputer, reuse distance
28Dhiren Xavier, Robert C. Aitken, André Ivanov, Vinod K. Agarwal Using an asymmetric error model to study aliasing in signature analysis registers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
28Maurizio Damiani, Piero Olivo, Michele Favalli, Silvia Ercolani, Bruno Riccò Aliasing in signature analysis testing with multiple input shift registers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
28Tom W. Williams, Wilfried Daehn, Matthias Gruetzner, Corot W. Starke Bounds and analysis of aliasing errors in linear feedback shift registers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
28Bernhard Smeets A Note On Sequences Generated by Clock Controlled Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
28Dieter Gollmann Pseudo Random Properties of Cascade Connections of Clock Controlled Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
27Stefan Vijzelaar, Herbert Bos, Wan J. Fokkink Brief announcement: a shared disk on distributed storage. Search on Bibsonomy PODC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF probabilistic consensus, shared disk semantics, strict linearizability, consistency, redundancy, storage, atomic registers
27Rainer Göttfert Sequences of Period 2N-2. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Periodic sequences, nonlinear feedback shift registers, minimal polynomial
27Janusz Rajski, Jerzy Tyszer Primitive Polynomials Over GF(2) of Degree up to 660 with Uniformly Distributed Coefficients. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ring generators, linear feedback shift registers, primitive polynomials
27Jovan Dj. Golic, Renato Menicocci Correlation Attacks on Up/Down Cascades. Search on Bibsonomy ACISP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF clock-controlled shift registers, up/down and stop/go cascades, cryptanalysis, Stream ciphers, correlation attacks
27Albrecht P. Stroele Test response compaction using arithmetic functions. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF arithmetic functions, combinational faults, underflow, feed back, logic testing, built-in self test, digital arithmetic, test pattern generation, adders, circuits, registers, aliasing probability, overflow, subtracters, test response compaction, arithmetic logic units
27Hagit Attiya, Amotz Bar-Noy, Danny Dolev Sharing Memory Robustly in Message-Passing Systems. Search on Bibsonomy J. ACM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF processor and link failures, fault-tolerance, message passing, shared memory, emulation, atomic registers, wait-freedom
25Shih-Hsu Huang, Chia-Ming Chang 0002, Yow-Tyng Nieh Opposite-phase register switching for peak current minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF peak current, sequential circuit synthesis, Logic synthesis, IC testing
25Marcos Kawazoe Aguilera, Ram Swaminathan Remote storage with byzantine servers. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF distributed system, algorithms, digital signatures, distributed storage, byzantine failures
25Ozgur Sinanoglu, Tsvetomir Petrov Isolation Techniques for Soft Cores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Joseph J. Sharkey, Jason Loew, Dmitry V. Ponomarev Reducing register pressure in SMT processors through L2-miss-driven early register release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register file, Simultaneous multithreading
25Mounira Bachir, Sid Ahmed Ali Touati, Albert Cohen 0001 Post-pass periodic register allocation to minimise loop unrolling degree. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded code optimisation, periodic register allocation, software pipelining, loop unrolling
25Mahdi Fazeli, Seyed Nematollah Ahmadian, Seyed Ghassem Miremadi A Low Energy Soft Error-Tolerant Register File Architecture for Embedded Processors. Search on Bibsonomy HASE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Pushkar Tripathi, Rohan Jain, Srikanth Kurra, Preeti Ranjan Panda REWIRED - Register Write Inhibition by Resource Dedication. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Sid Ahmed Ali Touati On the Periodic Register Need in Software Pipelining. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Periodic Register Requirement, MAXLIVE, Periodic Register Sufficiency, Stage Scheduling, Instruction Level Parallelism, Software Pipelining
25Pablo Montesinos, Wei Liu 0014, Josep Torrellas Using Register Lifetime Predictions to Protect Register Files against Soft Errors. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Jonathan K. Lee, Jens Palsberg, Fernando Magno Quintão Pereira Aliased Register Allocation for Straight-Line Programs Is NP-Complete. Search on Bibsonomy ICALP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Joseph J. Sharkey, Dmitry V. Ponomarev An L2-miss-driven early register deallocation for SMT processors. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register files, simultaneous multithreading
25Hiroaki Tanaka, Yoshinori Takeuchi, Keishi Sakanushi, Masaharu Imai, Yutaka Ota, Nobu Matsumoto, Masaki Nakagawa Pack instruction generation for media pUsing multi-valued decision diagram. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-valued decision diagram, SIMD instructions
25Rajiv A. Ravindran, Robert M. Senger, Eric D. Marsman, Ganesh S. Dasika, Matthew R. Guthaus, Scott A. Mahlke, Richard B. Brown Partitioning Variables across Register Windows to Reduce Spill Code in a Low-Power Processor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, Code generation, low-power design, graph partitioning, embedded processor, retargetable compilers, spill code, instruction encoding, register window
25Timothy M. Jones 0001, Michael F. P. O'Boyle, Jaume Abella 0001, Antonio González 0001, Oguz Ergin Compiler Directed Early Register Release. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Gokhan Memik, Masud H. Chowdhury, Arindam Mallik, Yehea I. Ismail Engineering Over-Clocking: Reliability-Performance Trade-Offs for High-Performance Register Files. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Alban Douillet, Guang R. Gao Register Pressure in Software-Pipelined Loop Nests: Fast Computation and Impact on Architecture Design. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Bogdan S. Chlebus, Dariusz R. Kowalski Cooperative asynchronous update of shared memory. Search on Bibsonomy STOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF problem Write-All, read and write register, work efficiency, distributed algorithm, expander, asynchrony, disperser
25Gadi Taubenfeld The Black-White Bakery Algorithm and Related Bounded-Space, Adaptive, Local-Spinning and FIFO Algorithms. Search on Bibsonomy DISC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Ittai Abraham, Gregory V. Chockler, Idit Keidar, Dahlia Malkhi Byzantine disk paxos: optimal resilience with byzantine shared memory. Search on Bibsonomy PODC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF termination conditions, consensus, Byzantine failures, shared-memory emulations
25Bernard Goossens The Instruction Register File. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Deshanand P. Singh, Stephen Dean Brown Integrated retiming and placement for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Stefan R. Meier, Mario Steinert, Steffen Buch Testability of path history memories with register-exchange architecture used in Viterbi-decoders. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Doaa A. Nassar, Aly E. Salama A heuristic DSP BIST insertion algorithm with minimum area overhead. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Andreas Kuehlmann, Jason Baumgartner Transformation-Based Verification Using Generalized Retiming. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Sid Ahmed Ali Touati Register Saturation in Superscalar and VLIW Codes. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Shlomi Dolev, Ted Herman Dijkstra's Self-Stabilizing Algorithm in Unsupportive Environments. Search on Bibsonomy WSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Ihn-Han Bae A Quorum-Based Dynamic Location Management Method for Mobile Computings. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF location management, quorum, mobile computing systems
25Ramaswamy Govindarajan, Chihong Zhang, Guang R. Gao Minimum Register Instruction Scheduling: A New Approach for Dynamic Instruction Issue Processors. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Faith E. Fich, Maurice Herlihy, Nir Shavit On the Space Complexity of Randomized Synchronization. Search on Bibsonomy J. ACM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF lower bounds, consensus, space complexity
25Kazuhito Ito, Keshab K. Parhi A Generalized Technique for Register Counting and its Application to Cost-Optimal DSP Architecture Synthesis. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Joseph D. Oldham, V. Wiktor Marek, Miroslaw Truszczynski Intelligent Computation of Presentation Documents. Search on Bibsonomy ISMIS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Keith I. Farkas, Norman P. Jouppi, Paul Chow Register File Design Considerations in Dynamically Scheduled Processors. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dynamic scheduling, register files, register renaming
25Steven L. Scott Synchronization and Communication in the T3E Multiprocessor. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Nam Sung Woo A Global, Dynamic Register Allocation and Binding for a Data Path Synthesis System. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Lefteris M. Kirousis, Evangelos Kranakis, Paul M. B. Vitányi Atomic Multireader Register. Search on Bibsonomy WDAG The full citation details ... 1987 DBLP  DOI  BibTeX  RDF regular, atomic, Register, reader, writer, shared register
22Andreas Larsson, Anders Gidenstam, Phuong Hoai Ha, Marina Papatriantafilou, Philippas Tsigas Multiword atomic read/write registers on multiprocessor systems. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronization, wait-free, Atomic register
22François Arnault, Thierry P. Berger Correction to "Feedback With Carry Shift Registers Synthesis With the Euclidean Algorithm" [May 04 910-917]. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Sultan Al-Hinai, Lynn Margaret Batten, Bernard D. Colbert Mutually Clock-Controlled Feedback Shift Registers Provide Resistance to Algebraic Attacks. Search on Bibsonomy Inscrypt The full citation details ... 2007 DBLP  DOI  BibTeX  RDF stream cipher, algebraic attacks, clock-control
22Qunfeng Dong, Suman Banerjee 0001, Jia Wang, Dheeraj Agrawal Wire speed packet classification without tcams: a few more registers (and a bit of logic) are enough. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF rule cache, rule evolution, packet classification
22Cédric Lauradoux From Hardware to Software Synthesis of Linear Feedback Shift Registers. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Jie Li, John C. Lach Negative-skewed shadow registers for at-speed delay variation characterization. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Hai Lin 0004, Yunsi Fei Utilizing custom registers in application-specific instruction set processors for register spills elimination. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF custom register, ASIP, register file
22Mark Goresky, Andrew Klapper Pseudonoise sequences based on algebraic feedback shift registers. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22William R. Roberts, Dimitrios Velenis Power supply variation effects on timing characteristics of clocked registers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22William R. Roberts, Dimitrios Velenis Effects of process and environmental variations on timing characteristics of clocked registers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22William R. Roberts, Dimitrios Velenis Parameter Variation Effects on Timing Characteristics of High Performance Clocked Registers. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 2526 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license