|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 6758 occurrences of 2994 keywords
|
|
|
Results
Found 12949 publication records. Showing 12949 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
28 | Duoduo Liao |
A high-fidelity immersive cluster-based driving simulator for transportation safety research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRCIA ![In: Proceedings VRCIA 2006 ACM International Conference on Virtual Reality Continuum and its Applications, Chinese University of Hong Kong, Hong Kong, China, June 14-17, 2006, pp. 361-364, 2006, ACM, 1-59593-324-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
vehicle dynamics, cluster, virtual reality, motion control, driving simulator |
28 | Yuichi Nakamura 0002, Kohei Hosokawa, Ichiro Kuroda, Ko Yoshikawa, Takeshi Yoshimura |
A fast hardware/software co-verification method for system-on-a-chip by using a C/C++ simulator and FPGA emulator with shared register communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 299-304, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
C/C++ simulator, FPGA emulation, co-verification |
28 | T. Makino |
A Discrete-Event Neural Network Simulator for General Neuron Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Comput. Appl. ![In: Neural Comput. Appl. 11(3-4), pp. 210-223, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Incremental partitioning method, Neural network simulator, Punnets, Discrete-event simulation, Event-driven simulation, Pulsed neural network |
28 | Linda M. Null, Julia Lobur |
MarieSim: The MARIE computer simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Educ. Resour. Comput. ![In: ACM J. Educ. Resour. Comput. 3(2), pp. 1:1-1:29, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Computer architecture simulator, introductory architecture, education |
28 | Yunshan Ge |
Using Design Pattern to Develop the Quality MSC Simulator Software in Performance Test for GSM and GPRS system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QSIC ![In: 3rd International Conference on Quality Software (QSIC 2003), 6-7 November 2003, Dallas, TX, USA, pp. 374-, 2003, IEEE Computer Society, 0-7695-2015-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
MSC simulator software, Performance Test GSM, Design Pattern, GPRS |
28 | Jumpei Niwa, Keiji Matsumoto, Hiroshi Imai |
General-Purpose Parallel Simulator for Quantum Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UMC ![In: Unconventional Models of Computation, Third International Conference, UMC 2002, Kobe, Japan, October 15-19, 2002, Proceedings, pp. 230-251, 2002, Springer, 3-540-44311-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
quantum computer simulator, Shor's factorization, Grover's database search, decoherence and operational errors, parallel processing |
28 | A. Fleming Seay, David M. Krum, Larry F. Hodges, William Ribarsky |
Simulator sickness and presence in a high field-of-view virtual environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended abstracts of the 2002 Conference on Human Factors in Computing Systems, CHI 2002, Minneapolis, Minnesota, USA, April 20-25, 2002, pp. 784-785, 2002, ACM, 1-58113-454-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
VR, presence, field-of-view, simulator sickness |
28 | Henry Been-Lirn Duh, Donald E. Parker, Thomas A. Furness |
An "independent visual background" reduced balance disturbance envoked by visual scene motion: implication for alleviating simulator sickness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the CHI 2001 Conference on Human Factors in Computing Systems, Seattle, WA, USA, March 31 - April 5, 2001., pp. 85-89, 2001, ACM, 1-58113-327-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
cybersickness, self-motion perception, virtual reality, virtual environments, simulator sickness |
28 | Mauricio Marín |
Comparative Analysis of a Parallel Discrete-Event Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCCC ![In: 20st International Conference of the Chilean Computer Science Society (SCCC 2000), 16-18 November 2000, Santiago, Chile, pp. 172-177, 2000, IEEE Computer Society, 0-7695-0810-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
simulation running times, optimistic synchronization protocol, bulk-synchronous parallel model, parallel processing, protocols, benchmarks, discrete event simulation, synchronisation, software performance evaluation, parallel discrete-event simulator, large scale systems, protocol performance |
28 | Yakov Persky, Mordechai Ben-Ari |
Re-engineering a concurrency simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITiCSE ![In: Proceedings of the 6th Annual Conference on the Teaching of Computing and the 3rd Annual SIGCSE Conference on Innovation and Technology in Computer Science Education, ITiCSE 1998, Dublin City University, Ireland, 18-21 August 1998, pp. 185-188, 1998, ACM, 1-58113-000-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Ada, virtual machine, Ada 95, compiler design, concurrency simulator |
28 | Raghunandan Rajan, Philip A. Wilsey |
Dynamically switching between lazy and aggressive cancellation in a Time Warp parallel simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 28st Annual Simulation Symposium (SS '95), April 25-28, 1995, Santa Barbara, California, USA, pp. 22-, 1995, IEEE Computer Society, 0-8186-7091-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
aggressive cancellation, Time Warp parallel discrete event simulator, cancellation strategy, erroneous computation, lazy cancellation, straggler, previous state, anti messages, forward processing, regenerated output messages, hit/miss ratio, digital system simulation, performance, parallel programming, protocols, discrete event simulation, adaptive systems, synchronisation, LC, AC, time warp simulation, logical process, adaptive technique, PDES, adaptive approach |
28 | Kaoutar El Maghraoui, Gokul B. Kandiraju, Joefon Jann, Pratap Pattnaik |
Modeling and simulating flash based solid-state disks for operating systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOSP/SIPEW ![In: Proceedings of the first joint WOSP/SIPEW International Conference on Performance Engineering, San Jose, California, USA, January 28-30, 2010, pp. 15-26, 2010, ACM, 978-1-60558-563-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
solid state disks (ssd), simulator, modeling, nand flash memory |
28 | Rogério Rodrigues Lima Cisi, André F. Kohn |
Simulation system of spinal cord motor nuclei and associated nerves and muscles, in a Web-based architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Neurosci. ![In: J. Comput. Neurosci. 25(3), pp. 520-542, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Motoneuron, Renshaw cell, Motoneuron pool, H-reflex, Simulator, Modeling, Force, EMG, Muscle, Neuronal network, Interneuron |
28 | Koji Murai, Tadatsugi Okazaki, Yuji Hayashi |
A Characteristic of a Navigator's Response to Artificial Ship's Movement by Picture and Motion Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (13) ![In: Engineering Psychology and Cognitive Ergonomics, 7th International Conference, EPCE 2007, Held as Part of HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings, pp. 770-778, 2007, Springer, 978-3-540-73330-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Ship bridge simulator, Picture and Motion Platform, Body response, Training |
28 | Uwe Kastens, Dinh Khoi Le, Adrian Slowik, Michael Thies |
Feedback driven instruction-set extension. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2004 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'04), Washington, DC, USA, June 11-13, 2004, pp. 126-135, 2004, ACM, 1-58113-806-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
simulator generation, encryption, network processor, codesign, instruction-set extensions, compiler generation |
28 | Gerald Seet, Michael W. S. Lau, Eicher Low, Ping L. Cheng |
A Unified Pilot Training and Control System for Underwater Robotic Vehicles (URV). ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 32(3), pp. 279-290, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
URV, underwater robotic vehicles, simulator, virtual environment |
28 | Chris H. L. Moller, Gerald G. Pechanek |
Architectural simulation system for M.f.a.s.t. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 29st Annual Simulation Symposium (SS '96), April 8-11, 1996, New Orleans, LA, USA, pp. 221-, 1996, IEEE Computer Society, 0-8186-7432-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
pulse transformers, architectural simulation system, architecture verification, Mwave folded array signal transform processor, single chip scalable very long instruction word processor array, independent processes, socket mechanism, execution-unit operations, execution emulation, M.f.a.s.t. processor, parallel architectures, virtual machines, reconfigurable architectures, digital signal processing chips, instruction sets, functional models, array signal processing, simulator performance |
28 | Gary S. H. Tan, Yong Meng Teo |
Experiences in simulating a declarative multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 28st Annual Simulation Symposium (SS '95), April 25-28, 1995, Santa Barbara, California, USA, pp. 95-104, 1995, IEEE Computer Society, 0-8186-7091-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
declarative multiprocessor simulation, declarative programming languages, Flagship parallel reduction machine, packet-based graph reduction model, executional units, timing characteristics, event-driven timing scheme, tightly-coupled processor-store pairs, performance evaluation, performance evaluation, parallel architectures, virtual machines, timing, parallel machines, synchronisation, synchronisation, parallel languages, functional languages, functional languages, functional simulator, parallel computer architectures, MIMD architecture, delta network |
28 | Hassan Zeino, Michel Misson |
A simulation architecture for a pico-cellular hybrid network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 20th Conference on Local Computer Networks (LCN'95), Minneapolis, Minnesota, USA, October 16-19, 1995, pp. 219-226, 1995, IEEE Computer Society, 0-8186-7162-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
hybrid network simulator, pico-cellular hybrid network, AIR TDMA, Adaptive InfraRed Time Division Multiple Access, wireless channel access, wireless LAN, time division multiple access, hybrid network, mobile stations |
28 | Aparna Mandke Dani, Keshavan Varadarajan, Bharadwaj Amrutur, Y. N. Srikant |
Accelerating multi-core simulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 2377-2382, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
chip multi-core, multi-core platform, timed petri-nets, instruction set simulator, cache simulator |
25 | Nobuyoshi Hashimoto, Hideo Kato, Kyohei Matsui |
Training of Tooth Scaling by Simulator - Development of Simulator and Investigation of its Effectiveness -. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICAT ![In: Advances in Artificial Reality and Tele-Existence, 17th International Conference on Artificial Reality and Telexistence, ICAT 2007, Esbjerg, Denmark, November 28-30, 2007, Proceedings, pp. 251-257, 2007, IEEE Computer Society, 0-7695-3056-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Skill training, Skill evaluation, Tooth scaling, Hand scaler, Dental calculus, Mixed Reality |
25 | Dennis Huo, Qiaoyan Yu, David Wolpert 0001, Paul Ampadu |
A simulator for ballistic nanostructures in a 2-D electron gas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 5(1), pp. 5:1-5:21, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
2DEG, Ballistic transport, nanoelectronic device, transistor |
25 | Jürgen Sommer, Simon Lüders, Suguna Subramanian, Stephen Schmitt, Wolfgang Rosenstiel |
SySifoS: SystemC simulator for sensor and communication systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Conference ![In: Proceedings of the 6th International Conference on Mobile Technology, Applications, and Systems, Mobility Conference 2009, Nice, France, September 2-4, 2009, 2009, ACM, 978-1-60558-536-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
short range communication, sensor networks, pervasive computing, wireless communication, network simulation, indoor localization |
25 | Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn, Christian Schlegel |
An Accurate and Compact Rayleigh and Rician Fading Channel Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 67th IEEE Vehicular Technology Conference, VTC Spring 2008, 11-14 May 2008, Singapore, pp. 409-413, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Jih-Fu Tu |
An Accomplished Simulator for Discrete Event Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia International Conference on Modelling and Simulation ![In: Second Asia International Conference on Modelling and Simulation, AMS 2008, Kuala Lumpur, Malaysia, May 13-15, 2008, pp. 497-504, 2008, IEEE Computer Society, 978-0-7695-3136-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Donald O. Hamnes |
Tuning a Distributed Simulator Using an Evolutionary Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 41st Annual Simulation Symposium (ANSS-41 2008), April 14-16, 2008, Ottawa, Canada, pp. 245-250, 2008, IEEE Computer Society, 0-7695-3143-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallel and distributed discrete event simulation, evolutionary algorithm |
25 | José Tavira-Mondragón, José Melgar-García, Jorge García-García, Rafael Cruz-Cruz |
Upgrade of a full-scope simulator for fossil-fuel power plants. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 2008 Winter Simulation Conference, Global Gateway to Discovery, WSC 2008, InterContinental Hotel, Miami, Florida, USA, December 7-10, 2008, pp. 1410-1418, 2008, WSC, 978-1-4244-2708-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Sun Young Yi, Kum Hei Ryu, Hyun Soo Woo, Woojin Ahn, Woo Seok Kim, Doo Yong Lee |
Quantitative Analysis of Colonoscopy Skills Using the KAIST-Ewha Colonoscopy Simulator II. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FBIT ![In: Frontiers in the Convergence of Bioscience and Information Technologies 2007, FBIT 2007, Jeju Island, Korea, October 11-13, 2007, pp. 519-524, 2007, IEEE Computer Society, 978-0-7695-2999-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Miklos Kozlovszky, Tibor Berceli, Viktor Kozlovszky |
NSOSS: the non-synchronized optical switch simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VALUETOOLS ![In: Proceedings of the 2nd International Conference on Performance Evaluation Methodolgies and Tools, VALUETOOLS 2007, Nantes, France, October 22-27, 2007, pp. 15, 2007, ICST/ACM, 978-963-9799-00-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
DWDM, all-optical packet switch, discrete event simulation |
25 | Sharad Singhai, MingYung Ko, Sanjay Jinturkar, Mayan Moudgill, John Glossner |
An integrated ARM and multi-core DSP simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 33-37, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multi-core simulation, performance measurement, just-in-time compilation, ARM, dynamic translation, embedded architectures |
25 | Hiroshi Nishikawa, Shinya Yamamoto, Morihiko Tamai, Kouji Nishigaki, Tomoya Kitani, Naoki Shibata, Keiichi Yasumoto, Minoru Ito |
UbiREAL: Realistic Smartspace Simulator for Systematic Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UbiComp ![In: UbiComp 2006: Ubiquitous Computing, 8th International Conference, UbiComp 2006, Orange County, CA, USA, September 17-21, 2006, pp. 459-476, 2006, Springer, 3-540-39634-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Jan Himmelspach, Paola Lecca, Davide Prandi, Corrado Priami, Paola Quaglia, Adelinde M. Uhrmacher |
Developing An Hierarchical Simulator for Beta-binders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADS ![In: 20th IEEE/ACM/SCS Workshop on Principles of Advanced and Distributed Simulation, PADS 2006, Singapore, May 23-26, 2006, pp. 92-102, 2006, IEEE Computer Society, 0-7695-2587-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Dusan Banovic, Igor Radusinovic |
Simulator for Performance Analysis of VOQ Switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: Proceedings of the 11th IEEE Symposium on Computers and Communications (ISCC 2006), 26-29 June 2006, Cagliari, Sardinia, Italy, pp. 526-531, 2006, IEEE Computer Society, 0-7695-2588-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Clayton T. Morrison, Paul R. Cohen |
The Hats Simulator and Colab: An Integrated Information Fusion Challenge Problem and Collaborative Analysis Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISI ![In: Intelligence and Security Informatics, IEEE International Conference on Intelligence and Security Informatics, ISI 2006, San Diego, CA, USA, May 23-24, 2006, Proceedings, pp. 105-116, 2006, Springer, 3-540-34478-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Dusan Banovic, Igor Radusinovic |
VOQ Simulator -Software Tool for Performance Analysis of VOQ Switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICT/ICIW ![In: Advanced International Conference on Telecommunications and International Conference on Internet and Web Applications and Services (AICT/ICIW 2006), 19-25 February 2006, Guadeloupe, French Caribbean, pp. 71, 2006, IEEE Computer Society, 0-7695-2522-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Milo M. K. Martin, Daniel J. Sorin, Bradford M. Beckmann, Michael R. Marty, Min Xu, Alaa R. Alameldeen, Kevin E. Moore, Mark D. Hill, David A. Wood 0001 |
Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 33(4), pp. 92-99, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Javier J. Sánchez Medina, Manuel J. Galán Moreno, Enrique Rubio Royo |
Stochastic Vs Deterministic Traffic Simulator. Comparative Study for Its Use Within a Traffic Light Cycles Optimization Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWINAC (2) ![In: Artificial Intelligence and Knowledge Engineering Applications: A Bioinspired Approach: First International Work-Conference on the Interplay Between Natural and Artificial Computation, IWINAC 2005, Las Palmas, Canary Islands, Spain, June 15-18, 2005, Proceedings, Part II, pp. 622-631, 2005, Springer, 3-540-26319-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Manuel Díaz, Daniel Garrido, Sergio Romero 0002, Bartolomé Rubio, Enrique Soler, José M. Troya |
A CCA-compliant Nuclear Power Plant Simulator Kernel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CBSE ![In: Component-Based Software Engineering, 8th International Symposium, CBSE 2005, St. Louis, MO, USA, May 14-15, 2005, Proceedings, pp. 283-297, 2005, Springer, 3-540-25877-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Tiequan Zhang, Rori Rohlfs, Russell Schwartz |
Implementation of a discrete event simulator for biological self-assembly systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 37th Winter Simulation Conference, Orlando, FL, USA, December 4-7, 2005, pp. 2223-2231, 2005, IEEE Computer Society, 0-7803-9519-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Cosmin Bonchis, Gabriel Ciobanu, Cornel Izbasa, Dana Petcu |
A Web-Based P Systems Simulator and Its Parallelization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UC ![In: Unconventional Computation, 4th International Conference, UC 2005, Sevilla, Spain, October 3-7, 2005, Proceedings, pp. 58-69, 2005, Springer, 3-540-29100-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Yoshihiko Susuki, Yasuhiro Takama, Tsuyoshi Funaki, Takashi Hikihara |
Evaluating performance of hybrid-type power system simulator based on transient stability analysis: a dynamical system approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 3894-3897, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Leonardo R. Bachega, José R. Brunheroto, Luiz De Rose, Pedro Mindlin, José E. Moreira |
The BlueGene/L pseudo cycle-accurate simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2004 IEEE International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings, pp. 36-44, 2004, IEEE Computer Society, 0-7803-8385-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Hiroo Iwata, Hiroaki Yano, Takahiro Uemura, Tetsuro Moriya |
Food Simulator: A Haptic Interface for Biting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VR ![In: IEEE Virtual Reality Conference 2004 (VR 2004), 27-31 March 2004, Chicago, IL, USA, Proceedings, pp. 51-58, 2004, IEEE Computer Society, 0-7803-8415-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
food texture, taste, haptic |
25 | Antonio Carlos Schneider Beck, Júlio C. B. de Mattos, Flávio Rech Wagner, Luigi Carro |
CACO-PS: A General Purpose Cycle-Accurate Configurable Power Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2003, Sao Paulo, Brazil, September 8-11, 2003, pp. 349-354, 2003, IEEE Computer Society, 0-7695-2009-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Carlos Monserrat, Oscar López, Ullrich Meier, Mariano Alcañiz Raya, M. Carmen Juan Lizandra, Vicente Grau |
GeRTiSS: A Generic Multi-model Surgery Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IS4TH ![In: Surgery Simulation and Soft Tissue Modeling, International Symposium, IS4TM 2003, Juan-Les-Pins, France, June 12-13, 2003, Proceedings, pp. 59-66, 2003, Springer, 3-540-40439-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Surya Dev Pathak, David M. Dilts, Gautam Biswas |
Next generation modeling III - agents: a multi-paradigm simulator for simulating complex adaptive supply chain networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 35th Winter Simulation Conference: Driving Innovation, New Orleans, Louisiana, USA, December 7-10, 2003, pp. 808-816, 2003, IEEE Computer Society, 0-7803-8132-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Toshiyuki Ishimura, Takeshi Kato, Kentaro Oda, Takeshi Ohashi |
An Open Robot Simulator Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RoboCup ![In: RoboCup 2003: Robot Soccer World Cup VII, pp. 621-627, 2003, Springer, 3-540-22443-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Richard L. Schiek, Elebeoba E. May |
Development of a Massively-Parallel, Biological Circuit Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSB ![In: 2nd IEEE Computer Society Bioinformatics Conference, CSB 2003, Stanford, CA, USA, August 11-14, 2003, pp. 620-622, 2003, IEEE Computer Society, 0-7695-2000-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Haruyuki Matsuo, Shigeru Imafuku, Kazuhiko Ohno, Hiroshi Nakashima |
Shaman: A Distributed Simulator for Shared Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 10th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2002), 11-16 October 2002, Fort Worth, Texas, USA, pp. 347-355, 2002, IEEE Computer Society, 0-7695-1840-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Hidemasa Kubota, Atsushi Kamo, Takayuki Watanabe, Hideki Asai |
Noise analysis of power/ground planes on PCB by SPICE-like simulator with model order reduction technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2002 International Symposium on Circuits and Systems, ISCAS 2002, Scottsdale, Arizona, USA, May 26-29, 2002, pp. 649-552, 2002, IEEE, 0-7803-7448-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Kuo-Liang Cheng, Jen-Chieh Yeh, Chih-Wea Wang, Chih-Tsun Huang, Cheng-Wen Wu |
RAMSES-FT: A Fault Simulator for Flash Memory Testing and Diagnostics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, USA, pp. 281-288, 2002, IEEE Computer Society, 0-7695-1570-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Akio Nishikawa, Masayuki Yamamura, Masami Hagiya |
DNA computation simulator based on abstract bases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 5(1), pp. 25-38, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Threshold approach, Stochastic approach, Fitting parameters using genetic algorithms, Simulation, DNA computing |
25 | Jiung-yao Huang, Hua-hseng Bai, Chi-fu Tai, Chung-Yun Gau |
Experience of Building A High-Fidelity Mobile Crane Simulator with Cluster of Desktop Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 21st International Conference on Distributed Computing Systems Workshops (ICDCS 2001 Workshops), 16-19 April 2001, Phoenix, AZ, USA, Proceedings, pp. 402-, 2001, IEEE Computer Society, 0-7695-1080-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
25 | Choonhwa Lee, Abdelsalam Helal |
Ns-Based Bluetooth LAP Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 26th Annual IEEE Conference on Local Computer Networks (LCN 2001), 14-16 November 2001, Tampa, Florida, USA, Proceedings, pp. 525-532, 2001, IEEE Computer Society, 0-7695-1321-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
25 | Denvil Smith |
A flit level simulator for wormhole routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 38th Annual Southeast Regional Conference, 2000, Clemson, South Carolina, USA, April 7-8, 2000, pp. 109-116, 2000, ACM, 1-58113-250-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
25 | Kenji Shimazaki, Hiroyuki Tsujikawa, Seijiro Kojima, Shouzou Hirano |
LEMINGS: LSI's EMI-Noise Analysis with Gate Level Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 1st International Symposium on Quality of Electronic Design (ISQED 2000), 20-22 March 2000, San Jose, CA, USA, pp. 129-136, 2000, IEEE Computer Society, 0-7695-0525-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
25 | Fujio Kaneko, Yoshinori Ikemoto, Masaaki Fukumoto |
Evacuation Simulator for Analysis of Evacuees' Decision in a Ship under Casualty. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VR ![In: IEEE Virtual Reality 1999 Conference, VR'99, Houston, Texas, USA, March 13-17, 1999, Proceedings, pp. 80, 1999, IEEE Computer Society, 0-7695-0093-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
25 | Chung Len Lee 0001, Ching Ping Wu, Wen-Zen Shen, Tyh-Song Hwang, Shueng Dar Hwang |
MT-SIM a mixed-level transition fault simulator based on parallel patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 3(1), pp. 67-78, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
mixed-level, parallel pattern, Fault simulation, transition fault |
25 | Genhong Ruan, Jirí Vlach, James A. Barby, Ajoy Opal |
Analog functional simulator for multilevel systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(5), pp. 565-576, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Brion L. Keller, David P. Carlson, William Maloney |
The Compiled Logic Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 8(1), pp. 21-34, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
25 | L.-T. Wang, Nathan E. Hoover, Edwin H. Porter, John J. Zasio |
SSIM: A Software Levelized Compiled-Code Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987., pp. 2-8, 1987, IEEE Computer Society Press / ACM. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
25 | Yuri Ohara, Satoru Torii, Etsuo Ono, Makoto Kishishita, Jiro Tanaka, Toshihiko Miyazaki |
A Prototype Software Simulator for FGHC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LP ![In: Logic Programming '86, Proceedings of the 5th Conference, Tokyo, Japan, June 23-26, 1986, pp. 47-57, 1986, Springer, 3-540-18024-9. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
25 | Heekyung Kim, Dukyoung Yun, Soonhoi Ha |
Scalable and retargetable simulation techniquesfor multiprocessor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2009, Grenoble, France, October 11-16, 2009, pp. 89-98, 2009, ACM, 978-1-60558-628-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
simulation, scalable, parallel, distributed, multiprocessor, retargetable |
25 | Edgar A. León, Rolf Riesen, Arthur B. Maccabe, Patrick G. Bridges |
Instruction-level simulation of a cluster at scale. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | J. P. Grossman, Cliff Young, Joseph A. Bank, Kenneth M. Mackenzie, Doug Ierardi, John K. Salmon, Ron O. Dror, David E. Shaw |
Simulation and embedded software development for Anton, a parallel machine with heterogeneous multicore ASICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 125-130, 2008, ACM, 978-1-60558-470-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Anton, simulation, embedded software, special-purpose hardware |
25 | Bundith Panchaphongsaphak, Rainer Burgkart, Robert Riener |
Three-Dimensional Touch Interface for Medical Education. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Technol. Biomed. ![In: IEEE Trans. Inf. Technol. Biomed. 11(3), pp. 251-263, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Abbas Nayebi, Sina Meraji, Arash Shamaei, Hamid Sarbazi-Azad |
XMulator: A Listener-Based Integrated Simulation Platform for Interconnection Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia International Conference on Modelling and Simulation ![In: First Asia International Conference on Modelling and Simulation, AMS 2007, Phuket, Thailand, March 27-30, 2007, pp. 128-132, 2007, IEEE Computer Society, 978-0-7695-2845-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Andrew Over, Peter E. Strazdins, Bill Clarke |
Cycle Accurate Memory Modelling: A Case-Study in Validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 13th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2005), 27-29 September 2005, Atlanta, GA, USA, pp. 85-96, 2005, IEEE Computer Society, 0-7695-2458-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Juan Cristóbal Zagal, Iván Sarmiento, Javier Ruiz-del-Solar |
An Application Interface for UCHILSIM and the Arrival of New Challenges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RoboCup ![In: RoboCup 2005: Robot Soccer World Cup IX, pp. 464-471, 2005, Springer, 3-540-35437-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Kumiko Maeda, Kazuki Sato, Kazuki Konishi, Akiko Yamasaki, Akira Uchiyama, Hirozumi Yamaguchi, Keiichi Yasumoto, Teruo Higashino |
Getting urban pedestrian flow from simple observation: realistic mobility generation in wireless network simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSWiM ![In: Proceedings of the 8th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2005, Montréal, Quebec, Canada, October 10-13, 2005, pp. 151-158, 2005, ACM, 1-59593-188-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
simulation, mobile ad-hoc network, mobility model |
25 | Yong Tian, George Gross |
Simulation of the Multi-Node Open Access Same-Time Information System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (5) ![In: 30th Annual Hawaii International Conference on System Sciences (HICSS-30), 7-10 January 1997, Maui, Hawaii, USA, pp. 641-647, 1997, IEEE Computer Society, 0-8186-7734-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
24 | Jongmin Lee, Eujoon Byun, Hanmook Park, Jongmoo Choi, Donghee Lee 0001, Sam H. Noh |
CPS-SIM: configurable and accurate clock precision solid state drive simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2009 ACM Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009, pp. 318-325, 2009, ACM, 978-1-60558-166-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
SSD (solid state drive), clock precision SSD simulator, configurability, NAND flash memory, FTL (flash translation layer) |
24 | Svante Signell, Jinliang Huang |
LiLaS: a link layer simulator in matlab/octave. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSWiM ![In: Proceedings of the 12th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2009, Tenerife, Canary Islands, Spain, October 26-19, 2009, pp. 143-146, 2009, ACM, 978-1-60558-616-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
communication}, simulator, wireless, wired, link layer, physical layer |
24 | Cláudio Carneiro, Vítor Silva 0005, François Golay |
Incorporation of Morphological Properties of Buildings' Descriptors Computed from GIS and LIDAR Data on an Urban Multi-agent Vector Based Geo-simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2009, International Conference, Seoul, Korea, June 29-July 2, 2009, Proceedings, Part I, pp. 205-220, 2009, Springer, 978-3-642-02453-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
GIS data, geo-simulator, urban groups, multi-agent system, morphology, building, descriptors, LIDAR data |
24 | Gildas Marin, Edith Terrenoire, Martha Hernandez |
Compared distortion effects between real and virtual ophthalmic lenses with a simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRST ![In: Proceedings of the ACM Symposium on Virtual Reality Software and Technology, VRST 2008, Bordeaux, France, October 27-29, 2008, pp. 271-272, 2008, ACM, 978-1-59593-951-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
ophthalmic simulator, subjective comparison, subjective perception, virtual reality, distortion |
24 | Rainer Herpers, Wolfgang Heiden, Michael Kutz, David Scherfgen, Ulrich Hartmann, Jens Bongartz, Oliver Schulzyk |
FIVIS bicycle simulator: an immersive game platform for physical activities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Future Play ![In: Proceedings of the 2008 Conference on Future Play: Research, Play, Share, Future Play 2008, Toronto, Ontario, Canada, November 3-5, 2008, pp. 244-247, 2008, ACM, 978-1-60558-218-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
bicycle simulator, immersive visualization environment, virtual reality, game platform |
24 | Francisco Javier González-Cañete, Eduardo Casilari, Alicia Triviño-Cabrera |
A windows based web cache simulator tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SimuTools ![In: Proceedings of the 1st International Conference on Simulation Tools and Techniques for Communications, Networks and Systems & Workshops, SimuTools 2008, Marseille, France, March 3-7, 2008, pp. 42, 2008, ICST/ACM, 978-963-9799-20-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
IRCache, simulator, web cache, replacement policies |
24 | Tien-Hsiung Weng, Ruey-Kuen Perng, Barbara M. Chapman |
OpenMP Implementation of SPICE3 Circuit Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 35(5), pp. 493-505, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
OpenMP SPICE circuit simulator, Shared-memory programming model |
24 | Alvaro Segura, Aitor Moreno, Gino Brunetti, Thomas Henn |
Interaction and Ergonomics Issues in the Development of a Mixed Reality Construction Machinery Simulator for Safety Training. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (17) ![In: Ergonomics and Health Aspects of Work with Computers, International Conference, EHAWC 2007, Held as Part of HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings, pp. 290-299, 2007, Springer, 978-3-540-73332-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Construction Machinery, Simulator, Interaction, Training, Safety, Mixed Reality, Ergonomics |
24 | Qiang Huang, TianHao Pan, WenHuan Xu |
AGV Simulator and Implementer Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (2) ![In: Human-Computer Interaction. Interaction Platforms and Techniques, 12th International Conference, HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part II, pp. 818-826, 2007, Springer, 978-3-540-73106-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
simulator, computer vision, human robot interaction, implementer, AGV |
24 | Matt T. Yourst |
PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2007 IEEE International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings, pp. 23-34, 2007, IEEE Computer Society, 1-4244-1081-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
client-server networked benchmark, PTLsim, cycle accurate full system, x86-64 microarchitectural simulator, superscalar x86-64 processor core, full-speed native execution, microarchitectural simulators, x86 ISA, microoperation level, multiprocessor capable simulation, Xen hypervisor, AMD Athlon 64 machine, virtual machine |
24 | Hadipurnawan Satria, Baatarbileg Altangerel, Jin Baek Kwon, Jeong-Bae Lee |
Configurable Virtual Platform Environment Using SID Simulator and Eclipse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEUS ![In: Software Technologies for Embedded and Ubiquitous Systems, 5th IFIP WG 10.2 International Workshop, SEUS 2007, Santorini Island, Greece, May 2007. Revised Papers, pp. 394-398, 2007, Springer, 978-3-540-75663-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
embedded software, development tools, virtual platform, full system simulator |
24 | Li Hui, Junming Wu, Guoliang Chen 0001, Xiufeng Sui |
MPUS: a scalable parallel simulator for RedNeurons parallel computer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Infoscale ![In: Proceedings of the 2nf International Conference on Scalable Information Systems, Infoscale 2007, Suzhou, China, June 6-8, 2007, pp. 33, 2007, ACM, 978-1-59593-757-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
RedNeurons parallel computer, parallel simulator, MPICH2 |
24 | Gyözö Gidófalvi, Torben Bach Pedersen |
ST-ACTS: a spatio-temporal activity simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GIS ![In: 14th ACM International Symposium on Geographic Information Systems, ACM-GIS 2006, November 10-11, 2006, Arlington, Virginia, USA, Proceedings, pp. 155-162, 2006, ACM, 1-59593-529-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
activity simulator, moving object simulation, data mining, spatio-temporal data, data generation |
24 | Jukka Häkkinen, Monika Pölönen, Jari Takatalo, Göte Nyman |
Simulator sickness in virtual display gaming: a comparison of stereoscopic and non-stereoscopic situations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile HCI ![In: Proceedings of the 8th Conference on Human-Computer Interaction with Mobile Devices and Services, Mobile HCI 2006, Helsinki, Finland, September 12-15, 2006, pp. 227-230, 2006, ACM, 1-59593-390-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
head-worn display, near-to-eye display, virtual display, games, user experience, mobile gaming, simulator sickness |
24 | Andrei Kirshin, Dolev Dotan, Alan Hartman |
A UML Simulator Based on a Generic Model Execution Engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MoDELS (Workshops) ![In: Models in Software Engineering, Workshops and Symposia at MoDELS 2006, Genoa, Italy, October 1-6, 2006, Reports and Revised Selected Papers, pp. 324-326, 2006, Springer, 978-3-540-69488-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Model Debugger, UML, State Machines, Activities, Model Execution, Model Simulator |
24 | Kaoru Inoue, Yuko Ito, Yumi Ikeda, Atsuko Tanimura, Keisuke Suzuki, Yoshiyuki Takahashi, Tadashi Suzuki, Madoka Fujimoto, Masahiro Tsuchijima, Takashi Komeda |
Trial Usage of Rehabilitation System: Simple Driving Simulator for the Driving Skill Evaluation of People with Cerebrovascular Disease: CVD. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCHP ![In: Computers Helping People with Special Needs, 10th International Conference, ICCHP 2006, Linz, Austria, July 11-13, 2006, Proceedings, pp. 943-949, 2006, Springer, 3-540-36020-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Cerebrobascular disease, Rehabilitation, Driving simulator |
24 | Hoonmo Yang, Moonkey Lee |
Embedded Processor Validation Environment Using a Cycle-Accurate Retargetable Instruction-Set Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 33(1-2), pp. 19-32, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
cycle-accurate, validation, system-on-chip (SoC), architecture description language (ADL), retargetable, instruction-set simulator |
24 | Sathish Govindarajan, Mike Dietze, Pankaj K. Agarwal, James S. Clark |
A scalable simulator for forest dynamics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCG ![In: Proceedings of the 20th ACM Symposium on Computational Geometry, Brooklyn, New York, USA, June 8-11, 2004, pp. 106-115, 2004, ACM, 1-58113-885-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
ecological forecasting, forest models, simulator, approximation algorithms, graphics hardware, geometric data structures |
24 | Cui Xie, Yicheng Jin, Xiuwen Liu |
Real-time ocean wave in multi-channel marine simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRCAI ![In: Proceedings VRCAI 2004, ACM SIGGRAPH International Conference on Virtual Reality Continuum and its Applications in Industry, Nanyang Technological University, Singapore, June 16-18, 2004, pp. 332-335, 2004, ACM, 1-58113-884-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
adaptive scheme, multi-channel marine simulator, ocean waves spectrum |
24 | Brian J. Shelburne |
Teaching computer organization using a PDP-8 simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 34th SIGCSE Technical Symposium on Computer Science Education, SIGCSE 2003, Reno, Nevada, USA, February 19-23, 2003, pp. 69-73, 2003, ACM, 1-58113-648-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
PDP-8 simulator |
24 | Hideaki Kimura 0002, Norihito Iyenaga |
A Unified Technique for PCB/MCM Design by Combining Electromagnetic Field Analysis with Circuit Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France, pp. 951-952, 1998, IEEE Computer Society, 0-8186-8359-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
small signal operation, large signaloperation, Simulator, MCM, FDTD, PCB, HSPICE |
24 | Freddy Gabbay, Avi Mendelson |
Smart: An Advanced Shared-Memory Simulator - Towards a System-Level Simulation Environmen. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: MASCOTS 1997, Proceedings of the Fifth International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, January 12-15, 1997 Haifa, Israel, pp. 131-, 1997, IEEE Computer Society, 0-8186-7758-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Multi-cache simulator, Performance analysis, Cache coherency protocols |
24 | Tzuhao Chen, Ibrahim N. Hajj |
GOLDENGATE: a fast and accurate bridging fault simulator under a hybrid logic/IDDQ testing environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 555-561, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
GOLDENGATE, digital VLSI circuits, electrical-level simulation, event-driven technique, logic/I/sub DDQ/ testing, logic testing, sequential circuits, combinational circuits, bridging fault simulator |
24 | Côme Rozon |
On the Use of VHDL as a Multi-Valued Logic Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 26th IEEE International Symposium on Multiple-Valued Logic, ISMVL 1996, Santiago de Compostela, Spain, May 29-31, 1996, Proceedings, pp. 110-117, 1996, IEEE Computer Society, 0-8186-7392-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
multi-valued logic simulator, ternary circuits, simulation, VHDL, logic CAD, functionality, circuit analysis computing, hardware description languages, digital circuits, multivalued logic circuits, timing specifications |
24 | Eric S. Chung, Michael Papamichael, Eriko Nurvitadhi, James C. Hoe, Ken Mai, Babak Falsafi |
ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Reconfigurable Technol. Syst. ![In: ACM Trans. Reconfigurable Technol. Syst. 2(2), pp. 15:1-15:32, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
simulator, FPGA, prototype, multiprocessor, multicore, emulator |
24 | Agostino G. Bruzzone, Paolo Fadda, Gianfranco Fancello, Alberto Tremori, Enrico Bocca, Gianmarco D'Errico |
Measuring human factors in port activities by using simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SpringSim ![In: Proceedings of the 2009 Spring Simulation Multiconference, SpringSim 2009, San Diego, California, USA, March 22-27, 2009, 2009, SCS/ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
6 DOF motion platform, HLA federation, electro medical devices for performance and fatigue assessment, ship-to-shore gantry crane simulator |
24 | Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai |
A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, FPGA 2008, Monterey, California, USA, February 24-26, 2008, pp. 77-86, 2008, ACM, 978-1-59593-934-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
simulator, FPGA, prototype, multiprocessor, multicore, emulator |
24 | Johannes Lessmann, Peter Janacik, Lazar Lachev, Dalimir Orfanus |
Comparative Study of Wireless Network Simulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN ![In: Seventh International Conference on Networking (ICN 2008), 13-18 April 2008, Cancun, Mexico, pp. 517-523, 2008, IEEE Computer Society, 978-0-7695-3106-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
wireles network simulator, ShoX, case study, ns-2, OMNeT++, SPAN, J-Sim |
24 | Joshua Staley, Suresh Muknahallipatna, Howard Johnson |
Fibre Channel based Storage Area Network Modeling using OPNET for Large Fabric Simulations: Preliminary Work. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 32nd Annual IEEE Conference on Local Computer Networks (LCN 2007), 15-18 October 2007, Clontarf Castle, Dublin, Ireland, Proceedings, pp. 234-236, 2007, IEEE Computer Society, 0-7695-3000-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
FC-2 level, Simulator, Modeling, Scaling, Switch, Fabric, Fibre Channel |
Displaying result #101 - #200 of 12949 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|